Part Number Hot Search : 
12F62 W3ARTT R14N51 TDA4470 AS35DT AS35FS LC72146V NFS110CJ
Product Description
Full Text Search
 

To Download TDA3-Q1 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  an important notice at the end of this data sheet addresses availability, warranty, changes, use in safety-critical applications, intellectual property matters and other important disclaimers. production data. tda3 sprs964e ? june 2016 ? revised may 2018 tda3x soc for advanced driver assistance systems (adas) 15mm package (abf) silicon revision 2.0 1 device overview 1 1.1 features 1 ? architecture designed for adas applications ? video and image processing support ? full-hd video (1920 1080p, 60 fps) ? video input and video output ? up to 2 c66x floating-point vliw dsp ? fully object-code compatible with c67x and c64x+ ? up to thirty-two 16 16-bit fixed-point multiplies per cycle ? up to 512kb of on-chip l3 ram ? level 3 (l3) and level 4 (l4) interconnects ? memory interface (emif) module ? supports ddr3/ddr3l up to ddr-1066 ? supports ddr2 up to ddr-800 ? supports lpddr2 up to ddr-667 ? up to 2gb supported ? dual arm ? cortex ? -m4 image processor (ipu) ? vision accelerationpac ? embedded vision engine (eve) ? display subsystem ? display controller with dma engine ? cvideo / sd-dac tv analog composite output ? video input port (vip) module ? support for up to 4 multiplexed input ports ? on-chip temperature sensor that is capable of generating temperature alerts ? general-purpose memory controller (gpmc) ? enhanced direct memory access (edma) controller ? 3-port (2 external) gigabit ethernet (gmac) switch ? controller area network (dcan) module ? can 2.0b protocol ? modular controller area network (mcan) module ? can 2.0b protocol ? eight 32-bit general-purpose timers ? three configurable uart modules ? four multichannel serial peripheral interfaces (mcspi) ? quad spi interface ? two inter-integrated circuit (i 2 c) ports ? three multichannel audio serial port (mcasp) modules ? multimedia card/secure digital/secure digital input output interface ( mmc ? / sd ? /sdio) ? up to 126 general-purpose i/o (gpio) pins ? power, reset, and clock management ? on-chip debug with ctools technology ? automotive aec-q100 qualified ? 15 15mm, 0.65-mm pitch, 367-pin pbga (abf) ? seven dual clock comparators (dcc) ? memory cyclic redundancy check (crc) ? tesoc (lbist/pbist) that enables field testing of logic and on-chip memory ? error signaling module (esm) ? five instances of real-time interrupt (rti) modules that can be used as watch dog timers ? 8-channel 10-bit adc ? mipi ? csi-2 camera serial interface ? pwmss ? full hw image pipe: dpc, cfa, 3d-nf, rgb- yuv ? wdr, hw ldc and perspective ordernow productfolder support &community tools & software technical documents
2 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 device overview copyright ? 2016 ? 2018, texas instruments incorporated 1.2 applications ? mono, stereo or tri-optic front camera ? object detection ? pedestrian detection ? traffic sign recognition ? lane detection and departure warning ? automatic emergency braking ? adaptive cruise control ? forward collision warning ? high beam assist ? lvds or ethernet surround view ? 2d surround view ? 3d surround view ? rear object detection ? parking assist ? pedestrian detection ? lane tracking ? drive recording ? sensor fusion ? vision, radar, ultrasonic, lidar sensors ? object data fusion ? raw data fusion 1.3 description ti ? s tda3x system-on-chip (soc) is a highly optimized and scalable family of devices designed to meet the requirements of leading advanced driver assistance systems (adas). the tda3x family enables broad adas applications in automobiles by integrating an optimal mix of performance, low power, smaller form factor and adas vision analytics processing that aims to facilitate a more autonomous and collision- free driving experience. the tda3x soc enables sophisticated embedded vision technology in today ? s automobile by enabling the industry ? s broadest range of adas applications including front camera, rear camera, surround view, radar, and fusion on a single architecture. the tda3x soc incorporates a heterogeneous, scalable architecture that includes a mix of ti ? s fixed and floating-point tms320c66x digital signal processor (dsp) generation cores, vision accelerationpac (eve), and dual-cortex-m4 processors. the device allows low power profile in different package options (including package-on-package) to enable small form factor designs. tda3x soc also integrates a host of peripherals including multi-camera interfaces (both parallel and serial) for lvds-based surround view systems, displays, can and gigb ethernet avb. the vision accelerationpac for this family of products includes embedded vision engine (eve) offloading the vision analytics functionality from the application processor while also reducing the power footprint. the vision accelerationpac is optimized for vision processing with a 32-bit risc core for efficient program execution and a vector coprocessor for specialized vision processing. additionally, texas instruments provides a complete set of development tools for the arm, dsp, and eve coprocessor, including c compilers, a dsp assembly optimizer to simplify programming and scheduling, and a debugging interface for visibility into source code execution. the tda3x adas processor is qualified according to aec-q100 standard. device information part number package body size tda3x s-pbga (367) 15.0 mm 15.0 mm
3 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 device overview copyright ? 2016 ? 2018, texas instruments incorporated 1.4 functional block diagram figure 1-1 is functional block diagram of the superset. figure 1-1. tda3x block diagram sprs916_intro_001 jtag plls osc clock comparator (7xdcc) error handler (esm) tesoc (lbist/pbist) 1x crc 5x rti memory controllers gpmc 8b/16b with up to 16b ecc lpddr2 / ddr2/ ddr3 / ddr3l 32b with 8b ecc timer x8 mailbox/spinlock 10-bit adc control module safety edma gpio x4 pwmssx1 mmux1 prcm system i2c x2 spi x4 qspi x1 sdio x1 dcan with ecc uart x3 mcasp x3 serial interfaces gmac connectivity edma 2tc l2 256kb cache c66x l1d 32kb l1p 32kb dsp subsystem x2 video input port cal lvdsrx csi2 isp video front end up to 512kb ram with ecc ipu with ecc dual cortex m4 32kb rom eve 16mac edma 2tc vision accelerator osd resizing csc sd-dac dvout display subsystem interconnect tda3x copyright ? 2016, texas instruments incorporated mcan(can-fd) with ecc
4 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 table of contents copyright ? 2016 ? 2018, texas instruments incorporated table of contents 1 device overview ......................................... 1 1.1 features ............................................. 1 1.2 applications ........................................... 2 1.3 description ............................................ 2 1.4 functional block diagram ........................... 3 2 revision history ......................................... 5 3 device comparison ..................................... 6 3.1 device comparison table ............................ 6 4 terminal configuration and functions .............. 8 4.1 terminal assignment ................................. 8 4.2 ball characteristics ................................... 9 4.3 multiplexing characteristics ......................... 39 4.4 signal descriptions .................................. 50 5 specifications .......................................... 74 5.1 absolute maximum ratings ......................... 74 5.2 esd ratings ........................................ 75 5.3 power on hour (poh) limits ........................ 75 5.4 recommended operating conditions ............... 76 5.5 operating performance points ...................... 78 5.6 power consumption summary ...................... 87 5.7 electrical characteristics ............................ 88 5.8 thermal characteristics ............................. 94 5.9 analog-to-digital adc subsystem electrical specifications ........................................ 95 5.10 power supply sequences ........................... 97 6 clock specifications ................................. 103 6.1 input clock specifications ......................... 104 6.2 dplls, dlls specifications ....................... 109 7 timing requirements and switching characteristics ........................................ 113 7.1 timing test conditions ............................ 113 7.2 interface clock specifications ..................... 113 7.3 timing parameters and information ............... 113 7.4 recommended clock and control signal transition behavior ............................................ 115 7.5 video input ports (vip) ............................ 116 7.6 display subsystem ? video output ports ......... 118 7.7 imaging subsystem (iss) .......................... 120 7.8 external memory interface (emif) ................. 121 7.9 general-purpose memory controller (gpmc) ..... 121 7.10 general-purpose timers ........................... 142 7.11 inter-integrated circuit interface (i2c) ............. 142 7.12 universal asynchronous receiver transmitter (uart) ............................................. 145 7.13 multichannel serial peripheral interface (mcspi) . 147 7.14 quad serial peripheral interface (qspi) .......... 153 7.15 multichannel audio serial port (mcasp) .......... 157 7.16 controller area network interface (dcan and mcan) ............................................. 165 7.17 ethernet interface (gmac_sw) ................... 166 7.18 sdio controller .................................... 170 7.19 general-purpose interface (gpio) ................ 175 7.20 test interfaces ..................................... 176 8 applications, implementation, and layout ...... 179 8.1 introduction ........................................ 179 8.2 power optimizations ............................... 180 8.3 core power domains .............................. 191 8.4 single-ended interfaces ........................... 200 8.5 differential interfaces .............................. 203 8.6 clock routing guidelines .......................... 205 8.7 lpddr2 board design and layout guidelines .... 206 8.8 ddr2 board design and layout guidelines ....... 215 8.9 ddr3 board design and layout guidelines ....... 227 8.10 cvideo/sd-dac guidelines and electrical data/timing ........................................ 250 9 device and documentation support .............. 252 9.1 device nomenclature & orderable information .... 252 9.2 tools and software ................................ 254 9.3 documentation support ............................ 255 9.4 receiving notification of documentation updates . 255 9.5 related links ...................................... 255 9.6 community resources ............................. 256 9.7 trademarks ........................................ 256 9.8 electrostatic discharge caution ................... 256 9.9 export control notice .............................. 256 9.10 glossary ............................................ 256 10 mechanical packaging information ............... 257 10.1 mechanical data ................................... 258
5 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 revision history copyright ? 2016 ? 2018, texas instruments incorporated 2 revision history changes from july 31, 2017 to may 5, 2018 (from d revision (july 2017) to e revision) page ? updated ? arm ? references to ? arm ? in section 1.1 , features .................................................................. 1 ? updated ? arm ? references to ? arm ? in table 3-1 , device comparison ....................................................... 6 ? added new tda3mdx subdevice to the device compariosn table ........................................................... 6 ? added missing balls in table 4-1 , unused balls specific connection requirements ....................................... 9 ? added clarification notes to section 4.2 , ball characteristics .................................................................. 9 ? updated i/o voltage value column in table 4-2 , ball characteristics to include 1.2v to all ddr signals ............ 9 ? updated some gpmc ball reset release muxmode values in table 4-2 , ball characteristics ............................. 9 ? removed mux16 option from table 4-2 , ball characteristics ................................................................. 9 ? added new tda3mdx subdevice to the ball characteristics column headers descriptions ................................ 9 ? removed balls from table 4-18 , mcasp signal descriptions ................................................................ 62 ? updated ? arm ? references to ? arm ? in table 4-28 , intc signal descriptions ............................................. 71 ? added recommended and absolute maximum voltage values for vdds_ddr* power pins when lpddr2 and ddr2 are used ...................................................................................................................... 74 ? updated table 5-9 , maximum supported frequency ......................................................................... 79 ? removed voltage high level limits from table 5-15 , lvcmos csi2 dc electrical characteristics ...................... 92 ? added references to notes under table 5-15 , lvcmos csi2 dc electrical characteristics ............................. 92 ? updated power down sequencing ................................................................................................. 98 ? updated dpll clkout output frequency in table 6-11 , dpll characteristics ......................................... 110 ? updated section 7.3 , timing parameters and information ................................................................... 114 ? updated mcspi and qspi timing figures ....................................................................................... 149 ? updated phase polarity in all qspi timing figures ............................................................................. 154 ? added qspi1_cs1 to all qspi iosets in table 7-25 , qspi iosets ....................................................... 157 ? added table 7-33 , mcasp2 iosets ........................................................................................... 164 ? added can delay time receive and transmit parameters in relation to the shift registers ............................... 166 ? updated arm references to arm table 7-56 , switching characteristics over recommended operating conditions for ieee 1149.1 jtag with rtck ................................................................................ 177 ? added section 8.3.7 , loss of input power event ............................................................................. 196 ? added new parameter in table 8-11 , length mismatch guidelines for csi-2 (1.5 gbps) ............................... 204 ? added new tda3mdx subdevice and corresponding " d " device identity parameter to the table 9-1 ................ 253 ? updated ? arm ? references to ? arm ? in the trademarks list ................................................................. 256
6 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 device comparison copyright ? 2016 ? 2018, texas instruments incorporated 3 device comparison 3.1 device comparison table table 3-1 shows a comparison between devices, highlighting the differences. table 3-1. device comparison (2) features device tda3mvx tda3max tda3mdx tda3lxx tda3lax features ctrl_wkup_std_fuse_die_id_2 [31:24] base pn register bitfield value (4) tda3mv: 147 (0x93) tda3ma: 149 (0x95) tda3md: 157 (0x9d) tda3lx: 154 (0x9a) tda3la: 151 (0x97) tda3mv-fd: 148 (0x94) tda3lx ? fd: 150 (0x96) processors/ accelerators speed grades r d , r d , r d d , r b , d , r b , d , r c66x vliw dsp dsp1 yes yes yes yes yes dsp2 yes yes yes no no display subsystem vout1 yes no no yes no sd_dac yes no no yes no embedded vision engine (eve) eve1 yes yes no yes yes dual arm cortex-m4 image processing unit (ipu) ipu1 yes yes yes yes yes imaging subsystem processor (iss) with mipi csi-2 and cpi ports isp yes no no yes no wdr & mesh ldc (1) yes no no yes no cal_a yes yes yes yes yes cal_b yes yes yes yes yes lvds-rx yes yes yes yes yes cpi yes yes yes yes yes video input port (vip) vip1 vin1a yes yes yes yes yes vin1b yes yes yes yes yes vin2a yes yes yes yes yes vin2b yes yes yes yes yes program/data storage on-chip shared memory (ram) ocmc_ram 1 512kb 512kb 512kb 256kb 256kb general-purpose memory controller (gpmc) gpmc yes yes yes yes yes lpddr2/ddr2/ddr3/ddr3l memory controller emif1 (optional with secded) up to 2gb up to 2gb up to 2gb up to 2gb up to 2gb peripherals controller area network interface (can) dcan1 yes yes yes yes yes mcan yes (3) yes yes yes yes (3) yes yes enhanced dma (edma) edma yes yes yes yes yes embedded 8 channel adc adc yes yes yes yes yes ethernet subsystem (ethernet ss) gmac_sw[0] rgmii only rgmii only rgmii only rgmii only rgmii only gmac_sw[1] rgmii only rgmii only rgmii only rgmii only rgmii only general-purpose io (gpio) gpio up to 126 up to 126 up to 126 up to 126 up to 126
7 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 device comparison copyright ? 2016 ? 2018, texas instruments incorporated table 3-1. device comparison (2) (continued) features device tda3mvx tda3max tda3mdx tda3lxx tda3lax inter-integrated circuit interface (i2c) i2c 2 2 2 2 2 system mailbox module mailbox 2 2 2 2 2 multichannel audio serial port (mcasp) mcasp1 16 serializers 16 serializers 16 serializers 16 serializers 16 serializers mcasp2 6 serializers 6 serializers 6 serializers 6 serializers 6 serializers mcasp3 6 serializers 6 serializers 6 serializers 6 serializers 6 serializers multimedia card/secure digital/secure digital input output interface (mmc/sd/sdio) mmc 1x sdio 4b 1x sdio 4b 1x sdio 4b 1x sdio 4b 1x sdio 4b multichannel serial peripheral interface (mcspi) mcspi 4 4 4 4 4 quad spi (qspi) qspi yes yes yes yes yes spinlock module spinlock yes yes yes yes yes timers, general-purpose timer 8 8 8 8 8 dual clock comparators (dcc) dcc 7 7 7 7 7 pulse-width modulation subsystem (pwmss) pwmss1 yes yes yes yes yes universal asynchronous receiver/transmitter (uart) uart 3 3 3 3 3 memory cyclic redundancy check (crc) crc yes yes yes yes yes tesoc (lbist/pbist) lbist/pbist yes yes yes yes yes error signaling module (esm) esm yes yes yes yes yes real time interrupt (rti) rti 5 5 5 5 5 (1) wide dynamic range and lens distortion correction. (2) listed devices are a sample of devices available in tda3x family. the device name decoder can provide the details of individual part number. please contact local sales for other devices in tda3x family. (3) device supports fd (flexible data rate) as an optional feature if the part number is designated with the ? f ? option. (4) for more details about the ctrl_wkup_std_fuse_die_id_2 register and base pn bitfield, see the tda3x technical reference manual .
8 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated 4 terminal configuration and functions 4.1 terminal assignment figure 4-1 shows the ball locations for the 367 plastic ball grid array (pbga) package and are used in conjunction with table 4-2 through table 4-29 to locate signal names and ball grid numbers. figure 4-1. abf s-pbga-n367 package (bottom view) note the following bottom balls are not connected: c4 / c7 / c9 / c11 / c13 / c15 / c19 / d4 / d5 / d9 / d11 / d13 / d17 / d18 / d19 / d20 / e4 / e5 / e6 / e9 / e11 / e13 / e15 / e18 / e19 / f5 / f9 / f11 / f18 / g13 / g15 / g17 / g20 / h3 / h4 / h5 / h6 / j8 / j9 / j12 / j13 / j14 / j18 / j19 / j20 / k3 / k4 / k5 / k6 / l9 / l10 / l11 / l13 / l14 / l17 / l18 / l19 / l20 / m3 / m4 / m5 / m6 / n9 / n11 / n13 / n14 / n17 / n18 / n19 / n20 / p3 / p4 / p5 / p6 / r8 / r10 / r11 / r13 / r14 / r15 / r17 / r18 / r19 / r20 / t3 / t6 / u5 / u10 / u12 / u14 / u18 / v4 / v5 / v6 / v8 / v10 / v12 / v14 / v17 / v18 / v19 / w3 / w4 / w5 / w10 / w12 / w14 / w18 / w19 / w20 / y4 / y7 / y10 / y12 / y14 / y16 / y19. these balls do not exist on the package. 4.1.1 unused balls connection requirements this section describes the unused/reserved balls connection requirements. note the following balls are reserved: a2 / f6 / a21 / b1 these balls must be left unconnected. note all unused power supply balls must be supplied with the voltages specified in the section 5.4 , recommended operating conditions, unless alternative tie-off options are included in section 4.4 , signal descriptions . a c e g j l n r u w aa 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 b d f h k m p t v y ab sprs916_ball_01
9 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-1. unused balls specific connection requirements balls connection requirements b21 / e22 / j5 / aa10 / aa5 / aa20 / w1 / t21 these balls must be connected to gnd through an external pull resistor if unused j2 / g5 / g4 / l3 / l4 / ab10 / j3 / ab5 / y20 / w2 / t22 / l6 / l5 these balls must be connected to the corresponding power supply through an external pull resistor if unused m19 / m20 / m21 / m22 / n22 / n21 / p19 / p18 / p20 these balls must be connected together to gnd through a single external 10k resistor if unused. note all other unused signal balls with a pad configuration register can be left unconnected with their internal pullup or pulldown resistor enabled. note all other unused signal balls without pad configuration register can be left unconnected. 4.2 ball characteristics table 4-2 describes the terminal characteristics and the signals multiplexed on each ball. the following list describes the table column headers: 1. ball number: ball number(s) on the bottom side associated with each signal on the bottom. 2. ball name: mechanical name from package device (name is taken from muxmode 0). 3. signal name: names of signals multiplexed on each ball (also notice that the name of the ball is the signal name in muxmode 0). note table 4-2 does not take into account the subsystem multiplexing signals. subsystem multiplexing signals are described in section 4.4 , signal descriptions . note in the driver off mode, the buffer is configured in high-impedance. 4. ma/lx/la: this column shows if the functionality is applicable for tda3max , tda3lxx, tda3lax devices. note that the ball characteristics table presents the functionality of tda3mvx device. if the cell is empty it means that the signal is available in all devices. ma ? tda3max, tda3mdx lx ? tda3lxx la ? tda3lax 5. muxmode: multiplexing mode number: a. muxmode 0 is the primary mode; this means that when muxmode=0 is set, the function mapped on the pin corresponds to the name of the pin. the primary muxmode is not necessarily the default muxmode. note the default mode is the mode at the release of the reset; also see the reset rel. muxmode column. b. muxmode 1 through 15 are possible muxmodes for alternate functions. on each pin, some
10 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated muxmodes are effectively used for alternate functions, while some muxmodes are not used. only muxmode values which correspond to defined functions should be used. c. an empty box means not applicable. 6. type: signal type and direction: ? i = input ? o = output ? io = input or output ? d = open drain ? ds = differential signaling ? a = analog ? pwr = power ? gnd = ground ? cap = ldo capacitor 7. ball reset state: the state of the terminal at power-on reset: ? drive 0 (off): the buffer drives v ol (pulldown or pullup resistor not activated). ? drive 1 (off): the buffer drives v oh (pulldown or pullup resistor not activated). ? off: high-impedance ? pd: high-impedance with an active pulldown resistor ? pu: high-impedance with an active pullup resistor ? an empty box means not applicable 8. ball reset rel. state: the state of the terminal at the deactivation of the rstoutn signal (also mapped to the prcm sys_warm_out_rst signal). ? drive 0 (off): the buffer drives v ol (pulldown or pullup resistor not activated). ? drive clk (off): the buffer drives a toggling clock (pulldown or pullup resistor not activated). ? drive 1 (off): the buffer drives v oh (pulldown or pullup resistor not activated). ? off: high-impedance ? pd: high-impedance with an active pulldown resistor ? pu: high-impedance with an active pullup resistor ? an empty box means not applicable note for more information on the core_pwron_ret_rst reset signal and its reset sources, see the power, reset, and clock management / reset management functional description section of the device trm. 9. ball reset rel. muxmode: this muxmode is automatically configured at the release of the rstoutn signal (also mapped to the prcm sys_warm_out_rst signal). an empty box means not applicable. 10. io voltage value : this column describes the io voltage value (vdds supply). an empty box means not applicable. 11. power: the voltage supply that powers the terminal io buffers. an empty box means not applicable. 12. hys: indicates if the input buffer is with hysteresis: ? yes: with hysteresis ? no: without hysteresis ? an empty box: not applicable note for more information, see the hysteresis values in section 5.7 , dc electrical characteristics .
11 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated 13. buffer type: drive strength of the associated output buffer. an empty box means not applicable. note for programmable buffer strength: ? the default value is given in table 4-2 . ? a note describes all possible values according to the selected muxmode. 14. pull up / down type: denotes the presence of an internal pullup or pulldown resistor. pullup and pulldown resistors can be enabled or disabled via software. ? pu: internal pullup ? pd: internal pulldown ? pu/pd: internal pullup and pulldown ? pux/pdy: programmable internal pullup and pulldown ? pdy: programmable internal pulldown ? an empty box means no pull 15. dsis: the deselected input state (dsis) indicates the state driven on the peripheral input (logic "0" or logic "1") when the peripheral pin function is not selected by any of the ctrl_core_padx registers. ? 0: logic 0 driven on the peripheral's input signal port. ? 1: logic 1 driven on the peripheral's input signal port. ? blank: pin state driven on the peripheral's input signal port. note configuring two pins to the same input signal is not supported as it can yield unexpected results. this can be easily prevented with the proper software configuration (hi-z mode is not an input signal). note when a pad is set into a multiplexing mode which is not defined by pin multiplexing, that pad ? s behavior is undefined. this should be avoided.
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 12 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] m19 adc_in0 adc_in0 0 a off off 0 1.8 vdda_adc gpadc m20 adc_in1 adc_in1 0 a off off 0 1.8 vdda_adc gpadc m21 adc_in2 adc_in2 0 a off off 0 1.8 vdda_adc gpadc m22 adc_in3 adc_in3 0 a off off 0 1.8 vdda_adc gpadc n22 adc_in4 adc_in4 0 a off off 0 1.8 vdda_adc gpadc n21 adc_in5 adc_in5 0 a off off 0 1.8 vdda_adc gpadc p19 adc_in6 adc_in6 0 a off off 0 1.8 vdda_adc gpadc p18 adc_in7 adc_in7 0 a off off 0 1.8 vdda_adc gpadc p20 adc_vrefp adc_vrefp 0 a off off 0 1.8 vdda_adc gpadc n15 cap_vddram_core1 cap_vddram_core1 cap m15 cap_vddram_core2 cap_vddram_core2 cap m14 cap_vddram_dspeve cap_vddram_dspeve cap a11 csi2_0_dx0 csi2_0_dx0 0 i off off 0 1.8 vdda_csi yes lvcmos csi2 pu/pd a12 csi2_0_dx1 csi2_0_dx1 0 i off off 0 1.8 vdda_csi yes lvcmos csi2 pu/pd a13 csi2_0_dx2 csi2_0_dx2 0 i off off 0 1.8 vdda_csi yes lvcmos csi2 pu/pd a15 csi2_0_dx3 csi2_0_dx3 0 i off off 0 1.8 vdda_csi yes lvcmos csi2 pu/pd a16 csi2_0_dx4 csi2_0_dx4 0 i off off 0 1.8 vdda_csi yes lvcmos csi2 pu/pd b11 csi2_0_dy0 csi2_0_dy0 0 i off off 0 1.8 vdda_csi yes lvcmos csi2 pu/pd b12 csi2_0_dy1 csi2_0_dy1 0 i off off 0 1.8 vdda_csi yes lvcmos csi2 pu/pd b13 csi2_0_dy2 csi2_0_dy2 0 i off off 0 1.8 vdda_csi yes lvcmos csi2 pu/pd b15 csi2_0_dy3 csi2_0_dy3 0 i off off 0 1.8 vdda_csi yes lvcmos csi2 pu/pd b16 csi2_0_dy4 csi2_0_dy4 0 i off off 0 1.8 vdda_csi yes lvcmos csi2 pu/pd t18 cvideo_rset cvideo_rset n / y / n 0 a off off 0 1.8 vdda_dac avdac t17 cvideo_tvout cvideo_tvout n / y / n 0 a off off 0 1.8 vdda_dac avdac p17 cvideo_vfb cvideo_vfb n / y / n 0 a off off 0 1.8 vdda_dac avdac n6 dcan1_rx dcan1_rx 0 io pu pu 15 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd gpio4_10 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 13 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] n5 dcan1_tx dcan1_tx 0 io pu pu 15 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd gpio4_9 14 io driver off 15 i f2 ddr1_casn ddr1_casn 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy g1 ddr1_ck ddr1_ck 0 o pd drive clk (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy ab13 ddr1_dqm_ecc ddr1_dqm_ecc 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy ab10 ddr1_dqsn_ecc ddr1_dqsn_ecc 0 io pu pu 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy aa10 ddr1_dqs_ecc ddr1_dqs_ecc 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy g2 ddr1_nck ddr1_nck 0 o pd drive clk (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy f1 ddr1_rasn ddr1_rasn 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy n1 ddr1_rst ddr1_rst 0 o pd drive 0 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy e3 ddr1_wen ddr1_wen 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy u4 ddr1_a0 ddr1_a0 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy c1 ddr1_a1 ddr1_a1 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy d3 ddr1_a2 ddr1_a2 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy r4 ddr1_a3 ddr1_a3 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy t4 ddr1_a4 ddr1_a4 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy n3 ddr1_a5 ddr1_a5 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy t2 ddr1_a6 ddr1_a6 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy n2 ddr1_a7 ddr1_a7 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy t1 ddr1_a8 ddr1_a8 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy u1 ddr1_a9 ddr1_a9 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy d1 ddr1_a10 ddr1_a10 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy r3 ddr1_a11 ddr1_a11 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 14 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] u2 ddr1_a12 ddr1_a12 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy c3 ddr1_a13 ddr1_a13 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy r2 ddr1_a14 ddr1_a14 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy v1 ddr1_a15 ddr1_a15 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy b3 ddr1_ba0 ddr1_ba0 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy a3 ddr1_ba1 ddr1_ba1 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy d2 ddr1_ba2 ddr1_ba2 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy f3 ddr1_cke0 ddr1_cke0 0 o pd drive 0 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy b2 ddr1_csn0 ddr1_csn0 0 o pd drive 1 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr2 lvcmos ddr pux/pdy aa6 ddr1_d0 ddr1_d0 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy aa8 ddr1_d1 ddr1_d1 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy y8 ddr1_d2 ddr1_d2 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy aa7 ddr1_d3 ddr1_d3 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy ab4 ddr1_d4 ddr1_d4 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy y5 ddr1_d5 ddr1_d5 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy aa4 ddr1_d6 ddr1_d6 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy y6 ddr1_d7 ddr1_d7 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy aa18 ddr1_d8 ddr1_d8 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy y21 ddr1_d9 ddr1_d9 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy aa21 ddr1_d10 ddr1_d10 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy y22 ddr1_d11 ddr1_d11 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy aa19 ddr1_d12 ddr1_d12 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy ab20 ddr1_d13 ddr1_d13 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 15 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] y17 ddr1_d14 ddr1_d14 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy ab18 ddr1_d15 ddr1_d15 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy aa3 ddr1_d16 ddr1_d16 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy aa2 ddr1_d17 ddr1_d17 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy y3 ddr1_d18 ddr1_d18 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy v2 ddr1_d19 ddr1_d19 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy u3 ddr1_d20 ddr1_d20 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy v3 ddr1_d21 ddr1_d21 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy y2 ddr1_d22 ddr1_d22 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy y1 ddr1_d23 ddr1_d23 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy u21 ddr1_d24 ddr1_d24 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy t20 ddr1_d25 ddr1_d25 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy r21 ddr1_d26 ddr1_d26 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy u20 ddr1_d27 ddr1_d27 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy r22 ddr1_d28 ddr1_d28 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy v20 ddr1_d29 ddr1_d29 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy w22 ddr1_d30 ddr1_d30 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy u22 ddr1_d31 ddr1_d31 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy ab8 ddr1_dqm0 ddr1_dqm0 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy y18 ddr1_dqm1 ddr1_dqm1 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy ab3 ddr1_dqm2 ddr1_dqm2 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy w21 ddr1_dqm3 ddr1_dqm3 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy aa5 ddr1_dqs0 ddr1_dqs0 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 16 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] aa20 ddr1_dqs1 ddr1_dqs1 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy w1 ddr1_dqs2 ddr1_dqs2 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy t21 ddr1_dqs3 ddr1_dqs3 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy ab5 ddr1_dqsn0 ddr1_dqsn0 0 io pu pu 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy y20 ddr1_dqsn1 ddr1_dqsn1 0 io pu pu 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy w2 ddr1_dqsn2 ddr1_dqsn2 0 io pu pu 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy t22 ddr1_dqsn3 ddr1_dqsn3 0 io pu pu 0 1.2/1.35/1.5 /1.8 vdds_ddr3 lvcmos ddr pux/pdy y11 ddr1_ecc_d0 ddr1_ecc_d0 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy aa12 ddr1_ecc_d1 ddr1_ecc_d1 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy aa11 ddr1_ecc_d2 ddr1_ecc_d2 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy y9 ddr1_ecc_d3 ddr1_ecc_d3 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy aa13 ddr1_ecc_d4 ddr1_ecc_d4 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy ab11 ddr1_ecc_d5 ddr1_ecc_d5 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy aa9 ddr1_ecc_d6 ddr1_ecc_d6 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy ab9 ddr1_ecc_d7 ddr1_ecc_d7 0 io pd pd 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy p2 ddr1_odt0 ddr1_odt0 0 o pd drive 0 (off) 0 1.2/1.35/1.5 /1.8 vdds_ddr1 lvcmos ddr pux/pdy h1 emu0 emu0 0 io pu pu 0 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd gpio4_28 14 io driver off 15 i h2 emu1 emu1 0 io pu pu 0 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd gpio4_29 14 io driver off 15 i e8 gpmc_ad0 gpmc_ad0 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 rgmii1_rxd2 1 i 0 gpio1_14 14 io sysboot0 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 17 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] a7 gpmc_ad1 gpmc_ad1 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 rgmii1_rxd1 1 i 0 gpio1_15 14 io sysboot1 15 i f8 gpmc_ad2 gpmc_ad2 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 rgmii1_rxd0 1 i 0 gpio1_16 14 io sysboot2 15 i b7 gpmc_ad3 gpmc_ad3 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 qspi1_rtclk 1 i 0 gpio1_17 14 io sysboot3 15 i a6 gpmc_ad4 gpmc_ad4 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 cam_strobe n / y / n 1 o gpio1_18 14 io sysboot4 15 i f7 gpmc_ad5 gpmc_ad5 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 uart2_txd 2 o timer6 3 io spi3_d1 4 io 0 gpio1_19 14 io sysboot5 mcasp2_aclkx 15 i e7 gpmc_ad6 gpmc_ad6 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 uart2_rxd 2 i 1 timer5 3 io spi3_d0 4 io 0 gpio1_20 14 io sysboot6 mcasp2_fsx 15 i c6 gpmc_ad7 gpmc_ad7 0 io off off 0 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 cam_shutter n / y / n 1 o timer4 3 io spi3_sclk 4 io 0 gpio1_21 14 io driver off mcasp2_ahclkx 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 18 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] b6 gpmc_ad8 gpmc_ad8 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 timer7 3 io spi3_cs0 4 io 1 gpio1_22 14 io sysboot8 mcasp2_aclkr 15 i a5 gpmc_ad9 gpmc_ad9 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 ecap1_in_pwm1_out 3 io 0 spi3_cs1 4 io 1 gpio1_23 14 io sysboot9 mcasp2_fsr 15 i d6 gpmc_ad10 gpmc_ad10 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 timer2 3 io gpio1_24 14 io sysboot10 mcasp2_axr0 15 i c5 gpmc_ad11 gpmc_ad11 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 timer3 3 io gpio1_25 14 io sysboot11 mcasp2_axr1 15 i b5 gpmc_ad12 gpmc_ad12 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 gpio1_26 14 io sysboot12 mcasp2_axr2 15 i d7 gpmc_ad13 gpmc_ad13 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 rgmii1_rxc 1 i 0 gpio1_27 14 io sysboot13 mcasp2_axr3 15 i b4 gpmc_ad14 gpmc_ad14 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 spi2_cs1 4 io 1 gpio1_28 14 io sysboot14 mcasp2_axr4 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 19 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] a4 gpmc_ad15 gpmc_ad15 0 io off off 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 spi2_cs0 4 io 1 gpio1_29 14 io sysboot15 mcasp2_axr5 15 i f12 gpmc_advn_ale gpmc_advn_ale 0 o pd pd 0 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd rgmii1_txd2 1 o ehrpwm1_tripzone_input 4 io 0 clkout1 5 o dma_evt4 6 i gpio1_3 14 io driver off 15 i d12 gpmc_ben0 gpmc_ben0 0 o pd pd 0 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd rgmii1_txctl 1 o ehrpwm1a 4 o dma_evt2 6 i gpio1_1 14 io driver off 15 i e12 gpmc_ben1 gpmc_ben1 0 o pd pd 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd rgmii1_txd3 1 o ehrpwm1b 4 o dma_evt3 6 i gpio1_2 14 io driver off 15 i c12 gpmc_clk gpmc_clk 0 io pd pd 0 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 0 rgmii1_txc 1 o clkout0 5 o dma_evt1 6 i gpio1_0 14 io driver off 15 i c10 gpmc_cs0 gpmc_cs0 0 o pu pu 0 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd rgmii1_rxctl 1 i 0 gpio1_6 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 20 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] e10 gpmc_cs1 gpmc_cs1 0 o pu pu 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd qspi1_cs0 1 io 1 gpio1_7 14 io driver off 15 i d10 gpmc_cs2 gpmc_cs2 0 o pu pu 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd qspi1_d3 1 io 0 gpio1_8 14 io driver off 15 i a9 gpmc_cs3 gpmc_cs3 0 o pu pu 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd qspi1_d2 1 io 0 gpio1_9 14 io driver off 15 i b9 gpmc_cs4 gpmc_cs4 0 o pu pu 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd qspi1_d0 1 io 0 gpio1_10 14 io driver off 15 i f10 gpmc_cs5 gpmc_cs5 0 o pu pu 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd qspi1_d1 1 io 0 gpio1_11 14 io driver off 15 i c8 gpmc_cs6 gpmc_cs6 0 o pu pu 15 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd qspi1_sclk 1 o gpio1_12 14 io driver off 15 i a10 gpmc_oen_ren gpmc_oen_ren 0 o pd pd 0 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd rgmii1_txd1 1 o ehrpwm1_synci 4 i 0 clkout2 5 o gpio1_4 14 io driver off 15 i d8 gpmc_wait0 gpmc_wait0 0 i pu pu 0 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd 1 rgmii1_rxd3 1 i 0 qspi1_rtclk 2 i 0 dma_evt4 6 i gpio1_13 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 21 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] b10 gpmc_wen gpmc_wen 0 o pd pd 0 1.8/3.3 vddshv2 yes dual voltage lvcmos pu/pd rgmii1_txd0 1 o ehrpwm1_synco 4 o gpio1_5 14 io driver off 15 i l3 i2c1_scl i2c1_scl 0 io off off 0 1.8/3.3 vddshv1 yes dual voltage lvcmos i2c pu l4 i2c1_sda i2c1_sda 0 io off off 0 1.8/3.3 vddshv1 yes dual voltage lvcmos i2c pu l6 i2c2_scl i2c2_scl 0 io off off 0 1.8/3.3 vddshv1 yes dual voltage lvcmos i2c pu l5 i2c2_sda i2c2_sda 0 io off off 0 1.8/3.3 vddshv1 yes dual voltage lvcmos i2c pu w6 mcan_rx mcan_rx 0 io pu pu 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd 1 cam_nreset n / y / n 1 io vin2a_vsync0 2 i spi1_cs3 3 io 1 uart3_txd 4 o gpmc_cs7 5 o vin1b_vsync1 7 i 0 gpio4_12 14 io driver off 15 i w7 mcan_tx mcan_tx 0 io pu pu 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd 1 vin2a_de0 1 i vin2a_hsync0 2 i spi1_cs2 3 io 1 uart3_rxd 4 i 1 gpmc_wait1 6 i 1 vin1b_hsync1 7 i 0 vin1b_de1 8 i 0 gpio4_11 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 22 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] b17 mdio_d mdio_d 0 io pu pu 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd 1 spi4_d0 4 io 0 esm_error 5 io 0 gpio3_18 14 io driver off 15 i b19 mdio_mclk mdio_mclk 0 o pu pu 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd 1 spi4_d1 4 io 0 gpio3_17 14 io driver off 15 i g5 nmin nmin 0 i pu pu 0 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd 1 g3 porz porz 0 i off off 0 1.8/3.3 vddshv1 yes ihhv1833 pu/pd g4 resetn resetn 0 i pu pu 0 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd b18 rgmii0_rxc rgmii0_rxc 0 i pd pd 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd 0 cam_strobe n / y / n 3 o mmc_clk 5 io 1 gpio3_25 14 io driver off 15 i c18 rgmii0_rxctl rgmii0_rxctl 0 i pd pd 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd 0 cam_shutter n / y / n 3 o mmc_cmd 5 io 1 gpio3_26 14 io driver off 15 i c16 rgmii0_txc rgmii0_txc 0 o pd pd 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd cam_strobe n / y / n 3 o spi4_sclk 4 io 0 mmc_clk 5 io 1 gpio3_19 14 io driver off 15 i c17 rgmii0_txctl rgmii0_txctl 0 o pd pd 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd cam_shutter n / y / n 3 o spi4_cs0 4 io 1 mmc_cmd 5 io 1 gpio3_20 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 23 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] a20 rgmii0_rxd0 rgmii0_rxd0 0 i pd pd 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd 0 mmc_dat3 5 io 1 gpio3_30 14 io driver off 15 i c20 rgmii0_rxd1 rgmii0_rxd1 0 i pd pd 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd 0 mmc_dat2 5 io 1 gpio3_29 14 io driver off 15 i b20 rgmii0_rxd2 rgmii0_rxd2 0 i pd pd 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd 0 mmc_dat1 5 io 1 gpio3_28 14 io driver off 15 i a19 rgmii0_rxd3 rgmii0_rxd3 0 i pd pd 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd 0 mmc_dat0 5 io 1 gpio3_27 14 io driver off 15 i f17 rgmii0_txd0 rgmii0_txd0 0 o pd pd 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd mmc_dat3 5 io 1 gpio3_24 14 io driver off 15 i e17 rgmii0_txd1 rgmii0_txd1 0 o pd pd 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd mmc_dat2 5 io 1 gpio3_23 14 io driver off 15 i d16 rgmii0_txd2 rgmii0_txd2 0 o pd pd 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd ecap1_in_pwm1_out 3 io 0 mmc_dat1 5 io 1 gpio3_22 14 io driver off 15 i e16 rgmii0_txd3 rgmii0_txd3 0 o pd pd 15 1.8/3.3 vddshv4 yes dual voltage lvcmos pu/pd mmc_dat0 5 io 1 gpio3_21 14 io driver off 15 i f4 rstoutn rstoutn 0 o pd drive 1 (off) 0 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 24 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] j6 rtck rtck 0 o pu drive clk (off) 0 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd gpio4_27 14 io driver off 15 i m2 spi1_sclk spi1_sclk 0 io pd pd 15 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd 0 uart3_rxd 1 i 1 gpio4_0 14 io driver off 15 i l1 spi2_sclk spi2_sclk 0 io pd pd 15 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd 0 uart3_rxd 1 i 1 ehrpwm1a 2 o timer3 3 io gpio4_5 14 io driver off 15 i r6 spi1_cs0 spi1_cs0 0 io pu pu 15 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd 1 uart3_txd 1 o gpio4_3 14 io driver off 15 i r5 spi1_cs1 spi1_cs1 0 io pu pu 15 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd 1 spi3_cs1 1 io 1 timer6 4 io ehrpwm1_tripzone_input 7 io 0 gpio4_4 14 io driver off 15 i t5 spi1_d0 spi1_d0 0 io off off 15 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd 0 uart3_rtsn 1 o gpio4_2 14 io driver off 15 i u6 spi1_d1 spi1_d1 0 io off off 15 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd 0 uart3_ctsn 1 i 1 gpio4_1 14 io driver off 15 i l2 spi2_cs0 spi2_cs0 0 io pu pu 15 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd 1 uart3_txd 1 o ehrpwm1b 2 o timer4 3 io gpio4_8 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 25 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] r7 spi2_d0 spi2_d0 0 io off off 15 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd 0 uart3_rtsn 1 o timer1 3 io gpio4_7 14 io sysboot7 15 i n4 spi2_d1 spi2_d1 0 io off off 15 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd 0 uart3_ctsn 1 i 1 timer5 3 io ecap1_in_pwm1_out 7 io 0 gpio4_6 14 io driver off 15 i j2 tclk tclk 0 i pu pu 0 1.8/3.3 vddshv1 yes iq1833 pu/pd j1 tdi tdi 0 i pu pu 0 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd gpio4_25 14 io driver off 15 i j4 tdo tdo 0 o pu pu 0 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd gpio4_26 14 io driver off 15 i j3 tms tms 0 io off off 0 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd j5 trstn trstn 0 i pd pd 0 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd f14 uart1_ctsn uart1_ctsn 0 i pu pu 15 1.8/3.3 vddshv3 yes dual voltage lvcmos pu/pd 1 xref_clk1 1 i uart3_rxd 2 i 1 gpmc_a16 3 o spi4_sclk 4 io 0 spi1_cs2 5 io 1 timer3 6 io ehrpwm1_synci 7 i 0 clkout0 8 o vin2a_hsync0 9 i gpmc_a12 10 o gpmc_clk 11 io 0 dcan1_tx 12 io gpio4_15 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 26 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] c14 uart1_rtsn uart1_rtsn 0 o pu pu 15 1.8/3.3 vddshv3 yes dual voltage lvcmos pu/pd uart3_txd 2 o gpmc_a17 3 o spi4_cs0 4 io 1 spi1_cs3 5 io 1 timer4 6 io ehrpwm1_synco 7 o qspi1_rtclk 8 i 0 vin2a_vsync0 9 i gpmc_a13 10 o dcan1_rx 12 io gpio4_16 14 io driver off 15 i f13 uart1_rxd uart1_rxd 0 i pu pu 15 1.8/3.3 vddshv3 yes dual voltage lvcmos pu/pd 1 spi4_d1 4 io 0 qspi1_rtclk 5 i 0 gpmc_a12 10 o mcan_tx 12 io 1 gpio4_13 14 io driver off 15 i e14 uart1_txd uart1_txd 0 o pu pu 15 1.8/3.3 vddshv3 yes dual voltage lvcmos pu/pd spi4_d0 4 io 0 gpmc_a13 10 o mcan_rx 12 io 1 gpio4_14 14 io driver off 15 i f15 uart2_ctsn uart2_ctsn 0 i off off 15 1.8/3.3 vddshv3 yes dual voltage lvcmos pu/pd 1 xref_clk1 2 i gpmc_a18 3 o spi3_sclk 4 io 0 qspi1_cs1 5 io 1 timer7 6 io vin2a_hsync0 9 i gpmc_clk 10 io 0 mcan_tx 12 io 1 gpio4_19 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 27 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] f16 uart2_rtsn uart2_rtsn 0 o pu pu 15 1.8/3.3 vddshv3 yes dual voltage lvcmos pu/pd ecap1_in_pwm1_out 1 io 0 gpmc_a19 3 o spi3_cs0 4 io 1 timer8 6 io vin2a_vsync0 9 i mcan_rx 12 io 1 gpio4_20 14 io driver off 15 i d14 uart2_rxd uart2_rxd 0 i pu pu 15 1.8/3.3 vddshv3 yes dual voltage lvcmos pu/pd 1 spi3_d1 4 io 0 timer1 6 io ehrpwm1a 7 o gpmc_clk 10 io 0 gpmc_a12 11 o dcan1_tx 12 io gpio4_17 14 io driver off 15 i d15 uart2_txd uart2_txd 0 o pu pu 15 1.8/3.3 vddshv3 yes dual voltage lvcmos pu/pd spi3_d0 4 io 0 timer2 6 io ehrpwm1b 7 o gpmc_a13 11 o dcan1_rx 12 io gpio4_18 14 io driver off 15 i h12, h13, h7, j10, j11, j15, k12, l12, l15, n12, n16, p10, p14 vdd vdd pwr p22 vdda_adc vdda_adc pwr a14 vdda_csi vdda_csi pwr u19 vdda_dac vdda_dac pwr n8 vdda_ddr_dsp vdda_ddr_dsp pwr m8 vdda_gmac_core vdda_gmac_core pwr e21 vdda_osc vdda_osc pwr h14 vdda_per vdda_per pwr g12, j7, l16, p13, t11 vdds18v vdds18v pwr
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 28 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] p7, t9 vdds18v_ddr1 vdds18v_ddr1 pwr g7 vdds18v_ddr2 vdds18v_ddr2 pwr t16, v21 vdds18v_ddr3 vdds18v_ddr3 pwr k2, k7, l7, m7 vddshv1 vddshv1 pwr b8, g11, g8, g9 vddshv2 vddshv2 pwr g14 vddshv3 vddshv3 pwr a18, e20 vddshv4 vddshv4 pwr h17, j16, j21 vddshv5 vddshv5 pwr aa16, t10, t12, t13 vddshv6 vddshv6 pwr aa1, ab6, r1, t7, t8 vdds_ddr1 vdds_ddr1 pwr c2, e2, g6 vdds_ddr2 vdds_ddr2 pwr aa22, ab19, t15 vdds_ddr3 vdds_ddr3 pwr k8, l8, m9, p11, p12, p8, p9 vdd_dspeve vdd_dspeve pwr f22 vin1a_clk0 vin1a_clk0 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_pclk 1 i 0 clkout0 4 o gpio1_30 14 io driver off mcasp3_aclkx 15 i g18 vin1a_d0 vin1a_d0 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data2 1 i 0 gpio2_3 14 io driver off mcasp3_axr1 15 i g21 vin1a_d1 vin1a_d1 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data3 1 i 0 gpio2_4 14 io driver off mcasp3_axr2 15 i g22 vin1a_d2 vin1a_d2 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data4 1 i 0 gpio2_5 14 io driver off mcasp3_axr3 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 29 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] h18 vin1a_d3 vin1a_d3 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data5 1 i 0 gpio2_6 14 io driver off mcasp3_axr4 15 i h20 vin1a_d4 vin1a_d4 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data6 1 i 0 gpio2_7 14 io driver off mcasp3_axr5 15 i h19 vin1a_d5 vin1a_d5 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data7 1 i 0 gpio2_8 14 io xref_clk2 mcasp3_ahclkx 15 i h22 vin1a_d6 vin1a_d6 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data8 1 i 0 gpio2_9 14 io driver off mcasp3_fsx 15 i h21 vin1a_d7 vin1a_d7 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data9 1 i 0 gpio2_10 14 io driver off 15 i j17 vin1a_d8 vin1a_d8 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data10 1 i 0 vin1b_d0 2 i 0 gpmc_a8 3 o sys_nirq2 7 i gpio2_11 14 io driver off 15 i k22 vin1a_d9 vin1a_d9 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data11 1 i 0 vin1b_d1 2 i 0 gpmc_a9 3 o sys_nirq1 7 i gpio2_12 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 30 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] k21 vin1a_d10 vin1a_d10 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data12 1 i 0 vin1b_d2 2 i 0 gpmc_a10 3 o sys_nirq2 7 i gpio2_13 14 io driver off 15 i k18 vin1a_d11 vin1a_d11 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data13 1 i 0 vin1b_d3 2 i 0 gpmc_a11 3 o sys_nirq1 7 i gpio2_14 14 io driver off 15 i k17 vin1a_d12 vin1a_d12 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data14 1 i 0 vin1b_d4 2 i 0 gpmc_a12 3 o dma_evt1 6 i gpio2_15 14 io driver off 15 i k19 vin1a_d13 vin1a_d13 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_wen 1 i 0 vin1b_d5 2 i 0 gpmc_a13 3 o dma_evt2 6 i gpio2_16 14 io driver off 15 i k20 vin1a_d14 vin1a_d14 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_fid 1 io 0 vin1b_d6 2 i 0 gpmc_a14 3 o gpio2_17 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 31 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] l21 vin1a_d15 vin1a_d15 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data15 1 i 0 vin1b_d7 2 i 0 gpmc_a15 3 o gpio2_18 14 io driver off 15 i f21 vin1a_de0 vin1a_de0 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_hsync 1 io 0 vin1b_clk1 2 i 0 clkout1 4 o gpio1_31 14 io driver off 15 i f20 vin1a_fld0 vin1a_fld0 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_vsync 1 io 0 vin2b_clk1 2 i 0 clkout2 4 o gpio2_0 14 io driver off mcasp3_aclkr 15 i f19 vin1a_hsync0 vin1a_hsync0 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data0 1 i 0 vin1a_de0 2 i 0 gpio2_1 14 io driver off mcasp3_fsr 15 i g19 vin1a_vsync0 vin1a_vsync0 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd 0 cpi_data1 1 i 0 gpio2_2 14 io driver off mcasp3_axr0 15 i l22 vin2a_clk0 vin2a_clk0 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd gpio2_19 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 32 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] m17 vin2a_de0 vin2a_de0 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd cam_strobe n / y / n 1 o vin2b_hsync1 2 i 0 vin2b_de1 5 i 0 gpio4_21 14 io driver off 15 i m18 vin2a_fld0 vin2a_fld0 0 i pd pd 15 1.8/3.3 vddshv5 yes dual voltage lvcmos pu/pd cam_shutter n / y / n 1 o vin2b_vsync1 2 i 0 gpio4_22 14 io driver off 15 i ab17 vout1_clk vout1_clk n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd vin1a_d12 2 i 0 clkout0 4 o vin2a_clk0 9 i gpio2_20 14 io driver off 15 i u17 vout1_de vout1_de n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_aclkx 1 io 0 vin1a_d13 2 i 0 clkout1 4 o gpio2_21 14 io driver off 15 i w17 vout1_fld vout1_fld n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_fsx 1 io 0 vin1a_d14 2 i 0 clkout2 4 o gpio2_22 14 io driver off 15 i aa17 vout1_hsync vout1_hsync n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_aclkr 1 io 0 vin1a_d15 2 i 0 vin2a_de0 9 i gpio2_23 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 33 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] u16 vout1_vsync vout1_vsync n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_fsr 1 io 0 vin2a_fld0 9 i gpio2_24 14 io driver off 15 i w16 vout1_d0 vout1_d0 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr0 1 io 0 mmc_clk 5 io 1 gpio2_25 14 io driver off 15 i v16 vout1_d1 vout1_d1 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr1 1 io 0 mmc_cmd 5 io 1 gpio2_26 14 io driver off 15 i u15 vout1_d2 vout1_d2 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr2 1 io 0 mcasp1_axr8 4 io 0 mmc_dat0 5 io 1 gpio2_27 14 io driver off 15 i v15 vout1_d3 vout1_d3 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr3 1 io 0 mcasp1_axr9 4 io 0 mmc_dat1 5 io 1 gpio2_28 14 io driver off 15 i y15 vout1_d4 vout1_d4 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr4 1 io 0 mcasp1_axr10 4 io 0 mmc_dat2 5 io 1 gpio2_29 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 34 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] w15 vout1_d5 vout1_d5 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr5 1 io 0 mcasp1_axr11 4 io 0 mmc_dat3 5 io 1 vin2a_clk0 9 i gpio2_30 14 io driver off 15 i aa15 vout1_d6 vout1_d6 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr6 1 io 0 mcasp1_axr12 4 io 0 esm_error 5 io 0 emu2 6 o vin2a_de0 9 i gpio2_31 14 io driver off 15 i ab15 vout1_d7 vout1_d7 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr7 1 io 0 ecap1_in_pwm1_out 3 io 0 mcasp1_axr13 4 io 0 emu3 6 o vin2a_fld0 9 i gpio3_0 14 io driver off 15 i aa14 vout1_d8 vout1_d8 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr8 1 io 0 vin2a_d0 2 i 0 gpmc_a20 3 o emu4 6 o gpio3_1 14 io driver off 15 i ab14 vout1_d9 vout1_d9 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr9 1 io 0 vin2a_d1 2 i 0 gpmc_a21 3 o emu5 6 o gpio3_2 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 35 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] u13 vout1_d10 vout1_d10 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr10 1 io 0 vin2a_d2 2 i 0 gpmc_a22 3 o emu6 6 o gpio3_3 14 io driver off 15 i v13 vout1_d11 vout1_d11 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr11 1 io 0 vin2a_d3 2 i 0 gpmc_a23 3 o emu7 6 o gpio3_4 14 io driver off 15 i y13 vout1_d12 vout1_d12 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr12 1 io 0 vin2a_d4 2 i 0 gpmc_a24 3 o emu8 6 o gpio3_5 14 io driver off mcasp2_ahclkx 15 i w13 vout1_d13 vout1_d13 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr13 1 io 0 vin2a_d5 2 i 0 gpmc_a25 3 o emu9 6 o gpio3_6 14 io driver off mcasp2_aclkr 15 i u11 vout1_d14 vout1_d14 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr14 1 io 0 vin2a_d6 2 i 0 gpmc_a26 3 o emu10 6 o gpio3_7 14 io driver off mcasp2_aclkx 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 36 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] v11 vout1_d15 vout1_d15 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_axr15 1 io 0 vin2a_d7 2 i 0 gpmc_a27 3 o emu11 6 o gpio3_8 14 io driver off mcasp2_fsx 15 i u9 vout1_d16 vout1_d16 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd mcasp1_ahclkx 1 o vin2a_d8 2 i 0 gpmc_a0 3 o mcasp1_axr8 4 io 0 vin2b_d0 5 i 0 emu12 6 o gpio3_9 14 io driver off 15 i w11 vout1_d17 vout1_d17 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd vin2a_d9 2 i 0 gpmc_a1 3 o mcasp1_axr9 4 io 0 vin2b_d1 5 i 0 emu13 6 o gpio3_10 14 io driver off mcasp2_fsr 15 i v9 vout1_d18 vout1_d18 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd vin2a_d10 2 i 0 gpmc_a2 3 o mcasp1_axr10 4 io 0 vin2b_d2 5 i 0 emu14 6 o gpio3_11 14 io driver off mcasp2_axr0 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 37 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] w9 vout1_d19 vout1_d19 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd vin2a_d11 2 i 0 gpmc_a3 3 o mcasp1_axr11 4 io 0 vin2b_d3 5 i 0 emu15 6 o gpio3_12 14 io driver off mcasp2_axr1 15 i u8 vout1_d20 vout1_d20 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd vin2a_d12 2 i 0 gpmc_a4 3 o mcasp1_axr12 4 io 0 vin2b_d4 5 i 0 emu16 6 o gpio3_13 14 io driver off mcasp2_axr2 15 i w8 vout1_d21 vout1_d21 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd vin2a_d13 2 i 0 gpmc_a5 3 o mcasp1_axr13 4 io 0 vin2b_d5 5 i 0 emu17 6 o gpio3_14 14 io driver off mcasp2_axr3 15 i u7 vout1_d22 vout1_d22 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd vin2a_d14 2 i 0 gpmc_a6 3 o mcasp1_axr14 4 io 0 vin2b_d6 5 i 0 emu18 6 o gpio3_15 14 io driver off mcasp2_axr4 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 38 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-2. ball characteristics (1) (continued) ball number [1] ball name [2] signal name [3] ma/lx/la [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] v7 vout1_d23 vout1_d23 n / y / n 0 o pd pd 15 1.8/3.3 vddshv6 yes dual voltage lvcmos pu/pd vin2a_d15 2 i 0 gpmc_a7 3 o mcasp1_axr15 4 io 0 vin2b_d7 5 i 0 emu19 6 o gpio3_16 14 io driver off mcasp2_axr5 15 i a1, a17, a22, a8, ab1, ab12, ab16, ab2, ab21, ab22, ab7, b22, e1, g10, g16, h10, h11, h15, h16, h8, h9, j22, k1, k10, k11, k13, k14, k15, k16, k9, m10, m11, m12, m13, m16, n10, n7, p1, p15, p16, r12, r16, r9, t14, v22 vss vss gnd p21 vssa_adc vssa_adc gnd b14 vssa_csi vssa_csi gnd t19 vssa_dac vssa_dac gnd d21 vssa_osc0 vssa_osc0 gnd c22 vssa_osc1 vssa_osc1 gnd e22 xi_osc0 xi_osc0 0 i 0 1.8 vdda_osc yes lvcmos alog pd b21 xi_osc1 xi_osc1 0 i 0 1.8 vdda_osc yes lvcmos alog pd d22 xo_osc0 xo_osc0 0 o 0 1.8 vdda_osc yes lvcmos alog pd c21 xo_osc1 xo_osc1 0 a 0 1.8 vdda_osc yes lvcmos alog pd m1 xref_clk0 xref_clk0 0 i pd pd 15 1.8/3.3 vddshv1 yes dual voltage lvcmos pu/pd clkout0 1 o spi3_cs0 4 io 1 spi2_cs1 5 io 1 spi1_cs0 6 io 1 spi1_cs1 7 io 1 gpio3_31 14 io driver off 15 i
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 39 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 (1) na in this table stands for not applicable. (2) for more information on recommended operating conditions, see table 5-4 , recommended operating conditions . (3) the pullup or pulldown block strength is equal to: minimum = 50 a, typical = 100 a, maximum = 250 a. (4) the output impedance settings of this io cell are programmable; by default, the value is ds[1:0] = 10, this means 40 ? . for more information on ds[1:0] register configuration, see the device trm. (5) in pux / pdy, x and y = 60 to 300 a. the output impedance settings (or drive strengths) of this io are programmable (60 , 80 , 120 ) depending on the values of the i[2:0] registers. 4.3 multiplexing characteristics table 4-3 describes the device multiplexing (no characteristics are available in this table). note this table doesn't take into account subsystem multiplexing signals. subsystem multiplexing signals are described in section 4.4 , signal descriptions . note for more information, see the control module / control module functional description / pad configuration registers section of the device trm. note configuring two pins to the same input signal is not supported as it can yield unexpected results. this can be easily prevented with the proper software configuration (hi-z mode is not an input signal). note when a pad is set into a multiplexing mode which is not defined by pin multiplexing, that pad ? s behavior is undefined. this should be avoided. caution the io timings provided in section 7 , timing requirements and switching characteristics are only valid if signals within a single ioset are used. the iosets are defined in the corresponding tables.
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 40 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-3. multiplexing characteristics address register name ball number muxmode[15:0] settings 0 1 2 3 4 5 6 7 8 9 10 11 12 14 15 e22 xi_osc0 n2 ddr1_a7 ab4 ddr1_d4 w1 ddr1_dqs2 u3 ddr1_d20 c1 ddr1_a1 m19 adc_in0 u1 ddr1_a9 y6 ddr1_d7 a15 csi2_0_dx3 v1 ddr1_a15 aa2 ddr1_d17 t1 ddr1_a8 r3 ddr1_a11 aa3 ddr1_d16 y1 ddr1_d23 y17 ddr1_d14 aa20 ddr1_dqs1 aa6 ddr1_d0 f3 ddr1_cke0 y20 ddr1_dqsn1 n21 adc_in5 t2 ddr1_a6 w2 ddr1_dqsn2 e3 ddr1_wen p18 adc_in7 aa11 ddr1_ecc_d 2 b3 ddr1_ba0 t17 cvideo_tvout w22 ddr1_d30 b21 xi_osc1 v3 ddr1_d21 t20 ddr1_d25 a11 csi2_0_dx0 g2 ddr1_nck u21 ddr1_d24 y9 ddr1_ecc_d 3
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 41 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-3. multiplexing characteristics (continued) address register name ball number muxmode[15:0] settings 0 1 2 3 4 5 6 7 8 9 10 11 12 14 15 r21 ddr1_d26 u4 ddr1_a0 ab18 ddr1_d15 a3 ddr1_ba1 d2 ddr1_ba2 b15 csi2_0_dy3 aa21 ddr1_d10 aa8 ddr1_d1 b13 csi2_0_dy2 ab8 ddr1_dqm0 m22 adc_in3 d1 ddr1_a10 y3 ddr1_d18 c21 xo_osc1 m20 adc_in1 r22 ddr1_d28 aa9 ddr1_ecc_d 6 n3 ddr1_a5 p2 ddr1_odt0 t4 ddr1_a4 ab10 ddr1_dqsn_ ecc ab3 ddr1_dqm2 aa12 ddr1_ecc_d 1 aa4 ddr1_d6 t18 cvideo_rset n22 adc_in4 r4 ddr1_a3 v20 ddr1_d29 ab13 ddr1_dqm_e cc aa5 ddr1_dqs0 a16 csi2_0_dx4 r2 ddr1_a14 y2 ddr1_d22 y21 ddr1_d9 w21 ddr1_dqm3 p20 adc_vrefp
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 42 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-3. multiplexing characteristics (continued) address register name ball number muxmode[15:0] settings 0 1 2 3 4 5 6 7 8 9 10 11 12 14 15 y5 ddr1_d5 f2 ddr1_casn ab20 ddr1_d13 b11 csi2_0_dy0 ab9 ddr1_ecc_d 7 d22 xo_osc0 u2 ddr1_a12 p19 adc_in6 aa18 ddr1_d8 u22 ddr1_d31 y18 ddr1_dqm1 a13 csi2_0_dx2 t22 ddr1_dqsn3 g1 ddr1_ck p17 cvideo_vfb g3 porz t21 ddr1_dqs3 y22 ddr1_d11 aa19 ddr1_d12 ab5 ddr1_dqsn0 u20 ddr1_d27 aa13 ddr1_ecc_d 4 b16 csi2_0_dy4 f1 ddr1_rasn d3 ddr1_a2 aa10 ddr1_dqs_e cc aa7 ddr1_d3 b2 ddr1_csn0 n1 ddr1_rst v2 ddr1_d19 y8 ddr1_d2 b12 csi2_0_dy1 c3 ddr1_a13 a12 csi2_0_dx1 ab11 ddr1_ecc_d 5 m21 adc_in2
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 43 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-3. multiplexing characteristics (continued) address register name ball number muxmode[15:0] settings 0 1 2 3 4 5 6 7 8 9 10 11 12 14 15 y11 ddr1_ecc_d 0 0x1400 ctrl_core_pad_ gpmc_clk c12 gpmc_clk rgmii1_txc clkout0 dma_evt1 gpio1_0 driver off 0x1404 ctrl_core_pad_ gpmc_ben0 d12 gpmc_ben0 rgmii1_txctl ehrpwm1a dma_evt2 gpio1_1 driver off 0x1408 ctrl_core_pad_ gpmc_ben1 e12 gpmc_ben1 rgmii1_txd3 ehrpwm1b dma_evt3 gpio1_2 driver off 0x140c ctrl_core_pad_ gpmc_advn_ale f12 gpmc_advn_ ale rgmii1_txd2 ehrpwm1_tri pzone_input clkout1 dma_evt4 gpio1_3 driver off 0x1410 ctrl_core_pad_ gpmc_oen_ren a10 gpmc_oen_r en rgmii1_txd1 ehrpwm1_sy nci clkout2 gpio1_4 driver off 0x1414 ctrl_core_pad_ gpmc_wen b10 gpmc_wen rgmii1_txd0 ehrpwm1_sy nco gpio1_5 driver off 0x1418 ctrl_core_pad_ gpmc_cs0 c10 gpmc_cs0 rgmii1_rxctl gpio1_6 driver off 0x141c ctrl_core_pad_ gpmc_cs1 e10 gpmc_cs1 qspi1_cs0 gpio1_7 driver off 0x1420 ctrl_core_pad_ gpmc_cs2 d10 gpmc_cs2 qspi1_d3 gpio1_8 driver off 0x1424 ctrl_core_pad_ gpmc_cs3 a9 gpmc_cs3 qspi1_d2 gpio1_9 driver off 0x1428 ctrl_core_pad_ gpmc_cs4 b9 gpmc_cs4 qspi1_d0 gpio1_10 driver off 0x142c ctrl_core_pad_ gpmc_cs5 f10 gpmc_cs5 qspi1_d1 gpio1_11 driver off 0x1430 ctrl_core_pad_ gpmc_cs6 c8 gpmc_cs6 qspi1_sclk gpio1_12 driver off 0x1434 ctrl_core_pad_ gpmc_wait0 d8 gpmc_wait0 rgmii1_rxd3 qspi1_rtclk dma_evt4 gpio1_13 driver off 0x1438 ctrl_core_pad_ gpmc_ad0 e8 gpmc_ad0 rgmii1_rxd2 gpio1_14 sysboot0 0x143c ctrl_core_pad_ gpmc_ad1 a7 gpmc_ad1 rgmii1_rxd1 gpio1_15 sysboot1 0x1440 ctrl_core_pad_ gpmc_ad2 f8 gpmc_ad2 rgmii1_rxd0 gpio1_16 sysboot2 0x1444 ctrl_core_pad_ gpmc_ad3 b7 gpmc_ad3 qspi1_rtclk gpio1_17 sysboot3 0x1448 ctrl_core_pad_ gpmc_ad4 a6 gpmc_ad4 cam_strobe gpio1_18 sysboot4 0x144c ctrl_core_pad_ gpmc_ad5 f7 gpmc_ad5 uart2_txd timer6 spi3_d1 gpio1_19 sysboot5 mcasp2_acl kx 0x1450 ctrl_core_pad_ gpmc_ad6 e7 gpmc_ad6 uart2_rxd timer5 spi3_d0 gpio1_20 sysboot6 mcasp2_fsx 0x1454 ctrl_core_pad_ gpmc_ad7 c6 gpmc_ad7 cam_shutter timer4 spi3_sclk gpio1_21 driver off mcasp2_ahc lkx
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 44 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-3. multiplexing characteristics (continued) address register name ball number muxmode[15:0] settings 0 1 2 3 4 5 6 7 8 9 10 11 12 14 15 0x1458 ctrl_core_pad_ gpmc_ad8 b6 gpmc_ad8 timer7 spi3_cs0 gpio1_22 sysboot8 mcasp2_acl kr 0x145c ctrl_core_pad_ gpmc_ad9 a5 gpmc_ad9 ecap1_in_p wm1_out spi3_cs1 gpio1_23 sysboot9 mcasp2_fsr 0x1460 ctrl_core_pad_ gpmc_ad10 d6 gpmc_ad10 timer2 gpio1_24 sysboot10 mcasp2_axr 0 0x1464 ctrl_core_pad_ gpmc_ad11 c5 gpmc_ad11 timer3 gpio1_25 sysboot11 mcasp2_axr 1 0x1468 ctrl_core_pad_ gpmc_ad12 b5 gpmc_ad12 gpio1_26 sysboot12 mcasp2_axr 2 0x146c ctrl_core_pad_ gpmc_ad13 d7 gpmc_ad13 rgmii1_rxc gpio1_27 sysboot13 mcasp2_axr 3 0x1470 ctrl_core_pad_ gpmc_ad14 b4 gpmc_ad14 spi2_cs1 gpio1_28 sysboot14 mcasp2_axr 4 0x1474 ctrl_core_pad_ gpmc_ad15 a4 gpmc_ad15 spi2_cs0 gpio1_29 sysboot15 mcasp2_axr 5 0x1478 ctrl_core_pad_ vin1a_clk0 f22 vin1a_clk0 cpi_pclk clkout0 gpio1_30 driver off mcasp3_acl kx 0x147c ctrl_core_pad_ vin1a_de0 f21 vin1a_de0 cpi_hsync vin1b_clk1 clkout1 gpio1_31 driver off 0x1480 ctrl_core_pad_ vin1a_fld0 f20 vin1a_fld0 cpi_vsync vin2b_clk1 clkout2 gpio2_0 driver off mcasp3_acl kr 0x1484 ctrl_core_pad_ vin1a_hsync0 f19 vin1a_hsync 0 cpi_data0 vin1a_de0 gpio2_1 driver off mcasp3_fsr 0x1488 ctrl_core_pad_ vin1a_vsync0 g19 vin1a_vsync 0 cpi_data1 gpio2_2 driver off mcasp3_axr 0 0x148c ctrl_core_pad_ vin1a_d0 g18 vin1a_d0 cpi_data2 gpio2_3 driver off mcasp3_axr 1 0x1490 ctrl_core_pad_ vin1a_d1 g21 vin1a_d1 cpi_data3 gpio2_4 driver off mcasp3_axr 2 0x1494 ctrl_core_pad_ vin1a_d2 g22 vin1a_d2 cpi_data4 gpio2_5 driver off mcasp3_axr 3 0x1498 ctrl_core_pad_ vin1a_d3 h18 vin1a_d3 cpi_data5 gpio2_6 driver off mcasp3_axr 4 0x149c ctrl_core_pad_ vin1a_d4 h20 vin1a_d4 cpi_data6 gpio2_7 driver off mcasp3_axr 5
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 45 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-3. multiplexing characteristics (continued) address register name ball number muxmode[15:0] settings 0 1 2 3 4 5 6 7 8 9 10 11 12 14 15 0x14a0 ctrl_core_pad_ vin1a_d5 h19 vin1a_d5 cpi_data7 gpio2_8 xref_clk2 mcasp3_ahc lkx 0x14a4 ctrl_core_pad_ vin1a_d6 h22 vin1a_d6 cpi_data8 gpio2_9 driver off mcasp3_fsx 0x14a8 ctrl_core_pad_ vin1a_d7 h21 vin1a_d7 cpi_data9 gpio2_10 driver off 0x14ac ctrl_core_pad_ vin1a_d8 j17 vin1a_d8 cpi_data10 vin1b_d0 gpmc_a8 sys_nirq2 gpio2_11 driver off 0x14b0 ctrl_core_pad_ vin1a_d9 k22 vin1a_d9 cpi_data11 vin1b_d1 gpmc_a9 sys_nirq1 gpio2_12 driver off 0x14b4 ctrl_core_pad_ vin1a_d10 k21 vin1a_d10 cpi_data12 vin1b_d2 gpmc_a10 sys_nirq2 gpio2_13 driver off 0x14b8 ctrl_core_pad_ vin1a_d11 k18 vin1a_d11 cpi_data13 vin1b_d3 gpmc_a11 sys_nirq1 gpio2_14 driver off 0x14bc ctrl_core_pad_ vin1a_d12 k17 vin1a_d12 cpi_data14 vin1b_d4 gpmc_a12 dma_evt1 gpio2_15 driver off 0x14c0 ctrl_core_pad_ vin1a_d13 k19 vin1a_d13 cpi_wen vin1b_d5 gpmc_a13 dma_evt2 gpio2_16 driver off 0x14c4 ctrl_core_pad_ vin1a_d14 k20 vin1a_d14 cpi_fid vin1b_d6 gpmc_a14 gpio2_17 driver off 0x14c8 ctrl_core_pad_ vin1a_d15 l21 vin1a_d15 cpi_data15 vin1b_d7 gpmc_a15 gpio2_18 driver off 0x14cc ctrl_core_pad_ vin2a_clk0 l22 vin2a_clk0 gpio2_19 driver off 0x14d0 ctrl_core_pad_ vin2a_de0 m17 vin2a_de0 cam_strobe vin2b_hsync 1 vin2b_de1 gpio4_21 driver off 0x14d4 ctrl_core_pad_ vin2a_fld0 m18 vin2a_fld0 cam_shutter vin2b_vsync 1 gpio4_22 driver off 0x14d8 ctrl_core_pad_ vout1_clk ab17 vout1_clk vin1a_d12 clkout0 vin2a_clk0 gpio2_20 driver off 0x14dc ctrl_core_pad_ vout1_de u17 vout1_de mcasp1_acl kx vin1a_d13 clkout1 gpio2_21 driver off 0x14e0 ctrl_core_pad_ vout1_fld w17 vout1_fld mcasp1_fsx vin1a_d14 clkout2 gpio2_22 driver off 0x14e4 ctrl_core_pad_ vout1_hsync aa17 vout1_hsync mcasp1_acl kr vin1a_d15 vin2a_de0 gpio2_23 driver off 0x14e8 ctrl_core_pad_ vout1_vsync u16 vout1_vsync mcasp1_fsr vin2a_fld0 gpio2_24 driver off 0x14ec ctrl_core_pad_ vout1_d0 w16 vout1_d0 mcasp1_axr 0 mmc_clk gpio2_25 driver off 0x14f0 ctrl_core_pad_ vout1_d1 v16 vout1_d1 mcasp1_axr 1 mmc_cmd gpio2_26 driver off 0x14f4 ctrl_core_pad_ vout1_d2 u15 vout1_d2 mcasp1_axr 2 mcasp1_axr 8 mmc_dat0 gpio2_27 driver off 0x14f8 ctrl_core_pad_ vout1_d3 v15 vout1_d3 mcasp1_axr 3 mcasp1_axr 9 mmc_dat1 gpio2_28 driver off
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 46 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-3. multiplexing characteristics (continued) address register name ball number muxmode[15:0] settings 0 1 2 3 4 5 6 7 8 9 10 11 12 14 15 0x14fc ctrl_core_pad_ vout1_d4 y15 vout1_d4 mcasp1_axr 4 mcasp1_axr 10 mmc_dat2 gpio2_29 driver off 0x1500 ctrl_core_pad_ vout1_d5 w15 vout1_d5 mcasp1_axr 5 mcasp1_axr 11 mmc_dat3 vin2a_clk0 gpio2_30 driver off 0x1504 ctrl_core_pad_ vout1_d6 aa15 vout1_d6 mcasp1_axr 6 mcasp1_axr 12 esm_error emu2 vin2a_de0 gpio2_31 driver off 0x1508 ctrl_core_pad_ vout1_d7 ab15 vout1_d7 mcasp1_axr 7 ecap1_in_p wm1_out mcasp1_axr 13 emu3 vin2a_fld0 gpio3_0 driver off 0x150c ctrl_core_pad_ vout1_d8 aa14 vout1_d8 mcasp1_axr 8 vin2a_d0 gpmc_a20 emu4 gpio3_1 driver off 0x1510 ctrl_core_pad_ vout1_d9 ab14 vout1_d9 mcasp1_axr 9 vin2a_d1 gpmc_a21 emu5 gpio3_2 driver off 0x1514 ctrl_core_pad_ vout1_d10 u13 vout1_d10 mcasp1_axr 10 vin2a_d2 gpmc_a22 emu6 gpio3_3 driver off 0x1518 ctrl_core_pad_ vout1_d11 v13 vout1_d11 mcasp1_axr 11 vin2a_d3 gpmc_a23 emu7 gpio3_4 driver off 0x151c ctrl_core_pad_ vout1_d12 y13 vout1_d12 mcasp1_axr 12 vin2a_d4 gpmc_a24 emu8 gpio3_5 driver off mcasp2_ahc lkx 0x1520 ctrl_core_pad_ vout1_d13 w13 vout1_d13 mcasp1_axr 13 vin2a_d5 gpmc_a25 emu9 gpio3_6 driver off mcasp2_acl kr 0x1524 ctrl_core_pad_ vout1_d14 u11 vout1_d14 mcasp1_axr 14 vin2a_d6 gpmc_a26 emu10 gpio3_7 driver off mcasp2_acl kx 0x1528 ctrl_core_pad_ vout1_d15 v11 vout1_d15 mcasp1_axr 15 vin2a_d7 gpmc_a27 emu11 gpio3_8 driver off mcasp2_fsx 0x152c ctrl_core_pad_ vout1_d16 u9 vout1_d16 mcasp1_ahc lkx vin2a_d8 gpmc_a0 mcasp1_axr 8 vin2b_d0 emu12 gpio3_9 driver off 0x1530 ctrl_core_pad_ vout1_d17 w11 vout1_d17 vin2a_d9 gpmc_a1 mcasp1_axr 9 vin2b_d1 emu13 gpio3_10 driver off mcasp2_fsr 0x1534 ctrl_core_pad_ vout1_d18 v9 vout1_d18 vin2a_d10 gpmc_a2 mcasp1_axr 10 vin2b_d2 emu14 gpio3_11 driver off mcasp2_axr 0 0x1538 ctrl_core_pad_ vout1_d19 w9 vout1_d19 vin2a_d11 gpmc_a3 mcasp1_axr 11 vin2b_d3 emu15 gpio3_12 driver off mcasp2_axr 1 0x153c ctrl_core_pad_ vout1_d20 u8 vout1_d20 vin2a_d12 gpmc_a4 mcasp1_axr 12 vin2b_d4 emu16 gpio3_13 driver off mcasp2_axr 2 0x1540 ctrl_core_pad_ vout1_d21 w8 vout1_d21 vin2a_d13 gpmc_a5 mcasp1_axr 13 vin2b_d5 emu17 gpio3_14 driver off mcasp2_axr 3 0x1544 ctrl_core_pad_ vout1_d22 u7 vout1_d22 vin2a_d14 gpmc_a6 mcasp1_axr 14 vin2b_d6 emu18 gpio3_15 driver off mcasp2_axr 4 0x1548 ctrl_core_pad_ vout1_d23 v7 vout1_d23 vin2a_d15 gpmc_a7 mcasp1_axr 15 vin2b_d7 emu19 gpio3_16 driver off mcasp2_axr 5
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 47 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-3. multiplexing characteristics (continued) address register name ball number muxmode[15:0] settings 0 1 2 3 4 5 6 7 8 9 10 11 12 14 15 0x154c ctrl_core_pad_ mcan_tx w7 mcan_tx vin2a_de0 vin2a_hsync 0 spi1_cs2 uart3_rxd gpmc_wait1 vin1b_hsync 1 vin1b_de1 gpio4_11 driver off 0x1550 ctrl_core_pad_ mcan_rx w6 mcan_rx cam_nreset vin2a_vsync 0 spi1_cs3 uart3_txd gpmc_cs7 vin1b_vsync 1 gpio4_12 driver off 0x1554 ctrl_core_pad_ mdio_mclk b19 mdio_mclk spi4_d1 gpio3_17 driver off 0x1558 ctrl_core_pad_ mdio_d b17 mdio_d spi4_d0 esm_error gpio3_18 driver off 0x155c ctrl_core_pad_ rgmii0_txc c16 rgmii0_txc cam_strobe spi4_sclk mmc_clk gpio3_19 driver off 0x1560 ctrl_core_pad_ rgmii0_txctl c17 rgmii0_txctl cam_shutter spi4_cs0 mmc_cmd gpio3_20 driver off 0x1564 ctrl_core_pad_ rgmii0_txd3 e16 rgmii0_txd3 mmc_dat0 gpio3_21 driver off 0x1568 ctrl_core_pad_ rgmii0_txd2 d16 rgmii0_txd2 ecap1_in_p wm1_out mmc_dat1 gpio3_22 driver off 0x156c ctrl_core_pad_ rgmii0_txd1 e17 rgmii0_txd1 mmc_dat2 gpio3_23 driver off 0x1570 ctrl_core_pad_ rgmii0_txd0 f17 rgmii0_txd0 mmc_dat3 gpio3_24 driver off 0x1574 ctrl_core_pad_ rgmii0_rxc b18 rgmii0_rxc cam_strobe mmc_clk gpio3_25 driver off 0x1578 ctrl_core_pad_ rgmii0_rxctl c18 rgmii0_rxctl cam_shutter mmc_cmd gpio3_26 driver off 0x157c ctrl_core_pad_ rgmii0_rxd3 a19 rgmii0_rxd3 mmc_dat0 gpio3_27 driver off 0x1580 ctrl_core_pad_ rgmii0_rxd2 b20 rgmii0_rxd2 mmc_dat1 gpio3_28 driver off 0x1584 ctrl_core_pad_ rgmii0_rxd1 c20 rgmii0_rxd1 mmc_dat2 gpio3_29 driver off 0x1588 ctrl_core_pad_ rgmii0_rxd0 a20 rgmii0_rxd0 mmc_dat3 gpio3_30 driver off 0x158c ctrl_core_pad_ xref_clk0 m1 xref_clk0 clkout0 spi3_cs0 spi2_cs1 spi1_cs0 spi1_cs1 gpio3_31 driver off 0x1590 ctrl_core_pad_ spi1_sclk m2 spi1_sclk uart3_rxd gpio4_0 driver off 0x1594 ctrl_core_pad_ spi1_d1 u6 spi1_d1 uart3_ctsn gpio4_1 driver off 0x1598 ctrl_core_pad_ spi1_d0 t5 spi1_d0 uart3_rtsn gpio4_2 driver off 0x159c ctrl_core_pad_ spi1_cs0 r6 spi1_cs0 uart3_txd gpio4_3 driver off 0x15a0 ctrl_core_pad_ spi1_cs1 r5 spi1_cs1 spi3_cs1 timer6 ehrpwm1_tri pzone_input gpio4_4 driver off 0x15a4 ctrl_core_pad_ spi2_sclk l1 spi2_sclk uart3_rxd ehrpwm1a timer3 gpio4_5 driver off 0x15a8 ctrl_core_pad_ spi2_d1 n4 spi2_d1 uart3_ctsn timer5 ecap1_in_p wm1_out gpio4_6 driver off
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 48 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com table 4-3. multiplexing characteristics (continued) address register name ball number muxmode[15:0] settings 0 1 2 3 4 5 6 7 8 9 10 11 12 14 15 0x15ac ctrl_core_pad_ spi2_d0 r7 spi2_d0 uart3_rtsn timer1 gpio4_7 sysboot7 0x15b0 ctrl_core_pad_ spi2_cs0 l2 spi2_cs0 uart3_txd ehrpwm1b timer4 gpio4_8 driver off 0x15b8 ctrl_core_pad_ dcan1_rx n6 dcan1_rx gpio4_10 driver off 0x15b4 ctrl_core_pad_ dcan1_tx n5 dcan1_tx gpio4_9 driver off 0x15bc ctrl_core_pad_ uart1_rxd f13 uart1_rxd spi4_d1 qspi1_rtclk gpmc_a12 mcan_tx gpio4_13 driver off 0x15c0 ctrl_core_pad_ uart1_txd e14 uart1_txd spi4_d0 gpmc_a13 mcan_rx gpio4_14 driver off 0x15c4 ctrl_core_pad_ uart1_ctsn f14 uart1_ctsn xref_clk1 uart3_rxd gpmc_a16 spi4_sclk spi1_cs2 timer3 ehrpwm1_sy nci clkout0 vin2a_hsync 0 gpmc_a12 gpmc_clk dcan1_tx gpio4_15 driver off 0x15c8 ctrl_core_pad_ uart1_rtsn c14 uart1_rtsn uart3_txd gpmc_a17 spi4_cs0 spi1_cs3 timer4 ehrpwm1_sy nco qspi1_rtclk vin2a_vsync 0 gpmc_a13 dcan1_rx gpio4_16 driver off 0x15cc ctrl_core_pad_ uart2_rxd d14 uart2_rxd spi3_d1 timer1 ehrpwm1a gpmc_clk gpmc_a12 dcan1_tx gpio4_17 driver off 0x15d0 ctrl_core_pad_ uart2_txd d15 uart2_txd spi3_d0 timer2 ehrpwm1b gpmc_a13 dcan1_rx gpio4_18 driver off 0x15d4 ctrl_core_pad_ uart2_ctsn f15 uart2_ctsn xref_clk1 gpmc_a18 spi3_sclk qspi1_cs1 timer7 vin2a_hsync 0 gpmc_clk mcan_tx gpio4_19 driver off 0x15d8 ctrl_core_pad_ uart2_rtsn f16 uart2_rtsn ecap1_in_p wm1_out gpmc_a19 spi3_cs0 timer8 vin2a_vsync 0 mcan_rx gpio4_20 driver off 0x15dc ctrl_core_pad_ i2c1_sda l4 i2c1_sda 0x15e0 ctrl_core_pad_ i2c1_scl l3 i2c1_scl 0x15e4 ctrl_core_pad_ i2c2_sda l5 i2c2_sda 0x15e8 ctrl_core_pad_ i2c2_scl l6 i2c2_scl 0x15ec ctrl_core_pad_ tms j3 tms 0x15f0 ctrl_core_pad_ tdi j1 tdi gpio4_25 driver off 0x15f4 ctrl_core_pad_ tdo j4 tdo gpio4_26 driver off 0x15f8 ctrl_core_pad_ tclk j2 tclk 0x15fc ctrl_core_pad_ trstn j5 trstn 0x1600 ctrl_core_pad_ rtck j6 rtck gpio4_27 driver off 0x1604 ctrl_core_pad_ emu0 h1 emu0 gpio4_28 driver off 0x1608 ctrl_core_pad_ emu1 h2 emu1 gpio4_29 driver off
copyright ? 2016 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: tda3 49 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 table 4-3. multiplexing characteristics (continued) address register name ball number muxmode[15:0] settings 0 1 2 3 4 5 6 7 8 9 10 11 12 14 15 0x160c ctrl_core_pad_ resetn g4 resetn 0x1610 ctrl_core_pad_ nmin g5 nmin 0x1614 ctrl_core_pad_ rstoutn f4 rstoutn 1. na in table stands for not applicable.
50 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated 4.4 signal descriptions many signals are available on multiple pins, according to the software configuration of the pin multiplexing options. 1. signal name: the name of the signal passing through the pin. note the subsystem multiplexing signals are not described in table 4-2 and table 4-3 . 2. description: description of the signal 3. type: signal direction and type: ? i = input ? o = output ? io = input or output ? d = open drain ? ds = differential ? a = analog ? pwr = power ? gnd = ground 4. ball: associated ball(s) bottom note for more information, see the control module / control module register manual section of the device trm. 4.4.1 video input ports (vip) note for more information, see the video input port (vip) section of the device trm. caution the io timings provided in section 7 , timing requirements and switching characteristics are only valid for vin1 and vin2 if signals within a single ioset are used. the iosets are defined in table 7-3 and table 7-4 . table 4-4. vip signal descriptions signal name description type ball video input 1 vin1a_clk0 video input 1 port a clock input. input clock for 8-bit 16-bit or 24-bit port a video capture. input data is sampled on the clk0 edge. i f22 vin1a_d0 video input 1 port a data input i g18 vin1a_d1 video input 1 port a data input i g21 vin1a_d2 video input 1 port a data input i g22 vin1a_d3 video input 1 port a data input i h18 vin1a_d4 video input 1 port a data input i h20 vin1a_d5 video input 1 port a data input i h19 vin1a_d6 video input 1 port a data input i h22 vin1a_d7 video input 1 port a data input i h21
51 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-4. vip signal descriptions (continued) signal name description type ball vin1a_d8 video input 1 port a data input i j17 vin1a_d9 video input 1 port a data input i k22 vin1a_d10 video input 1 port a data input i k21 vin1a_d11 video input 1 port a data input i k18 vin1a_d12 video input 1 port a data input i ab17 , k17 vin1a_d13 video input 1 port a data input i k19 , u17 vin1a_d14 video input 1 port a data input i k20 , w17 vin1a_d15 video input 1 port a data input i aa17 , l21 vin1a_de0 video input 1 port a field id input i f19 , f21 vin1a_fld0 video input 1 port a field id input i f20 vin1a_hsync0 video input 1 port a horizontal sync input i f19 vin1a_vsync0 video input 1 port a vertical sync input i g19 vin1b_clk1 video input 1 port b clock input i f21 vin1b_d0 video input 1 port b data input i j17 vin1b_d1 video input 1 port b data input i k22 vin1b_d2 video input 1 port b data input i k21 vin1b_d3 video input 1 port b data input i k18 vin1b_d4 video input 1 port b data input i k17 vin1b_d5 video input 1 port b data input i k19 vin1b_d6 video input 1 port b data input i k20 vin1b_d7 video input 1 port b data input i l21 vin1b_de1 video input 1 port b field id input i w7 vin1b_hsync1 video input 1 port b horizontal sync input i w7 vin1b_vsync1 video input 1 port b vertical sync input i w6 video input 2 vin2a_clk0 video input 2 port a clock input i ab17 , l22 , w15 vin2a_d0 video input 2 port a data input i aa14 vin2a_d1 video input 2 port a data input i ab14 vin2a_d2 video input 2 port a data input i u13 vin2a_d3 video input 2 port a data input i v13 vin2a_d4 video input 2 port a data input i y13 vin2a_d5 video input 2 port a data input i w13 vin2a_d6 video input 2 port a data input i u11 vin2a_d7 video input 2 port a data input i v11 vin2a_d8 video input 2 port a data input i u9 vin2a_d9 video input 2 port a data input i w11 vin2a_d10 video input 2 port a data input i v9 vin2a_d11 video input 2 port a data input i w9 vin2a_d12 video input 2 port a data input i u8 vin2a_d13 video input 2 port a data input i w8 vin2a_d14 video input 2 port a data input i u7 vin2a_d15 video input 2 port a data input i v7 vin2a_de0 video input 2 port a field id input i aa15 , aa17 , m17 , w7 vin2a_fld0 video input 2 port a field id input i ab15 , m18 , u16 vin2a_hsync0 video input 2 port a horizontal sync input i f14 , f15 , w7 vin2a_vsync0 video input 2 port a vertical sync input i c14 , f16 , w6 vin2b_clk1 video input 2 port b clock input i f20
52 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-4. vip signal descriptions (continued) signal name description type ball vin2b_d0 video input 2 port b data input i u9 vin2b_d1 video input 2 port b data input i w11 vin2b_d2 video input 2 port b data input i v9 vin2b_d3 video input 2 port b data input i w9 vin2b_d4 video input 2 port b data input i u8 vin2b_d5 video input 2 port b data input i w8 vin2b_d6 video input 2 port b data input i u7 vin2b_d7 video input 2 port b data input i v7 vin2b_de1 video input 2 port b field id input i m17 vin2b_hsync1 video input 2 port b horizontal sync input i m17 vin2b_vsync1 video input 2 port b vertical sync input i m18 4.4.2 display subsystem ? video output ports table 4-5. dss signal descriptions signal name description type ball dpi video output 1 vout1_clk video output 1 clock output o ab17 vout1_d0 video output 1 data output o w16 vout1_d1 video output 1 data output o v16 vout1_d2 video output 1 data output o u15 vout1_d3 video output 1 data output o v15 vout1_d4 video output 1 data output o y15 vout1_d5 video output 1 data output o w15 vout1_d6 video output 1 data output o aa15 vout1_d7 video output 1 data output o ab15 vout1_d8 video output 1 data output o aa14 vout1_d9 video output 1 data output o ab14 vout1_d10 video output 1 data output o u13 vout1_d11 video output 1 data output o v13 vout1_d12 video output 1 data output o y13 vout1_d13 video output 1 data output o w13 vout1_d14 video output 1 data output o u11 vout1_d15 video output 1 data output o v11 vout1_d16 video output 1 data output o u9 vout1_d17 video output 1 data output o w11 vout1_d18 video output 1 data output o v9 vout1_d19 video output 1 data output o w9 vout1_d20 video output 1 data output o u8 vout1_d21 video output 1 data output o w8 vout1_d22 video output 1 data output o u7 vout1_d23 video output 1 data output o v7 vout1_de video output 1 data enable output o u17 vout1_fld video output 1 field id output.this signal is not used for embedded sync modes. o w17 vout1_hsync video output 1 horizontal sync output.this signal is not used for embedded sync modes. o aa17 vout1_vsync video output 1 vertical sync output.this signal is not used for embedded sync modes. o u16
53 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated 4.4.3 digital-to-analog converter (sd_dac) note for more information, see thevideo encoder / video encoder overview of the device trm. table 4-6. cvideo sd_dac signal descriptions signal name description type ball cvideo_tvout sd_dac tv analog composite output a t17 cvideo_vfb sd_dac input feedback thru resistor to out a p17 cvideo_rset sd_dac input reference current resistor setting a t18 4.4.4 embedded 8 channel analog-to-digital converter (adc) note for more information, see the adc / adc overview of the device trm. table 4-7. adc signal descriptions signal name description type ball adc_in0 adc analog channel input 0 a m19 adc_in1 adc analog channel input 1 a m20 adc_in2 adc analog channel input 2 a m21 adc_in3 adc analog channel input 3 a m22 adc_in4 adc analog channel input 4 a n22 adc_in5 adc analog channel input 5 a n21 adc_in6 adc analog channel input 6 a p19 adc_in7 adc analog channel input 7 a p18 adc_vrefp adc positive reference voltage a p20 4.4.5 camera control note for more information, see the imaging subsystem (iss) section of the device trm. table 4-8. camera control signal descriptions signal name description type ball cam_strobe camera flash activation trigger o a6 , b18 , c16 , m17 cam_shutter camera mechanical shutter control o c6 , c18 , c17 , m18 cam_nreset camera sensor reset io w6 4.4.6 camera parallel interface (cpi) table 4-9. cpi signal descriptions signal name description type ball cpi_pclk camera pixel clock i f22 cpi_hsync camera horizontal synchonization io f21 cpi_vsync camera vertical synchonization io f20 cpi_data0 camera parallel data 0 i f19
54 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-9. cpi signal descriptions (continued) signal name description type ball cpi_data1 camera parallel data 1 i g19 cpi_data2 camera parallel data 2 i g18 cpi_data3 camera parallel data 3 i g21 cpi_data4 camera parallel data 4 i g22 cpi_data5 camera parallel data 5 i h18 cpi_data6 camera parallel data 6 i h20 cpi_data7 camera parallel data 7 i h19 cpi_data8 camera parallel data 8 i h22 cpi_data9 camera parallel data 9 i h21 cpi_data10 camera parallel data 10 i j17 cpi_data11 camera parallel data 11 i k22 cpi_data12 camera parallel data 12 i k21 cpi_data13 camera parallel data 13 i k18 cpi_data14 camera parallel data 14 i k17 cpi_data15 camera parallel data 15 i l21 cpi_wen camera parallel external write enable i k19 cpi_fid camera parallel field identification for interlaced sensors (i mode) io k20
55 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated 4.4.7 imaging subsystem (iss) note for more information, see the imaging subsystem of the device trm. caution the io timings provided in section 7 timing requirements and switching characteristics are only valid if signals within a single ioset are used. the iosets are defined in table 7-6 . table 4-10. csi 2 signal descriptions signal name description type ball csi2_0_dx0 serial differential data/clock positive input - lane 0 (position 1) i a11 csi2_0_dy0 serial differential data/clock negative input - lane 0 (position 1) i b11 csi2_0_dx1 serial differential data/clock positive input - lane 1 (position 2) i a12 csi2_0_dy1 serial differential data/clock negative input - lane 1 (position 2) i b12 csi2_0_dx2 serial differential data/clock positive input - lane 2 (position 3) i a13 csi2_0_dy2 serial differential data/clock negative input - lane 2 (position 3) i b13 csi2_0_dx3 serial differential data/clock positive input - lane 3 (position 4) i a15 csi2_0_dy3 serial differential data/clock negative input - lane 3 (position 4) i b15 csi2_0_dx4 serial differential data positive input only - lane 4 (position 5) (1) i a16 csi2_0_dy4 serial differential data negative input only - lane 4 (position 5) (1) i b16 (1) lane 4 (position 5) supports only data. for more information see imaging subsystem of the device trm. 4.4.8 external memory interface (emif) note for more information, see the memory subsystem / emif controller section of the device trm. note the index number 1 which is part of the emif1 signal prefixes (ddr1_*) listed in table 4-11 , emif signal descriptions, column "signal name" is not to be confused with ddr1 type of sdram memories. table 4-11. emif signal descriptions signal name description type ball ddr1_cke0 emif1 clock enable 0 o f3 ddr1_nck emif1 negative clock o g2 ddr1_odt0 emif1 on-die termination for chip select 0 o p2 ddr1_rasn emif1 row address strobe; when lpddr2 is used this signal functions as to ddr1_ca0 o f1 ddr1_rst emif1 reset output o n1 ddr1_wen emif1 write enable; when lpddr2 is used this signal functions as ddr1_ca2 o e3 ddr1_csn0 emif1 chip select 0 o b2 ddr1_ck emif1 clock o g1
56 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-11. emif signal descriptions (continued) signal name description type ball ddr1_casn emif1 column address strobe; when lpddr2 is used this signal functions as ddr1_ca1 o f2 ddr1_ba0 emif1 bank address; when lpddr2 is used this signal functions as ddr1_ca7 o b3 ddr1_ba1 emif1 bank address; when lpddr2 is used this signal functions as ddr1_ca8 o a3 ddr1_ba2 emif1 bank address; when lpddr2 is used this signal functions as ddr1_ca9 o d2 ddr1_a0 emif1 address bus o u4 ddr1_a1 emif1 address bus; when lpddr2 is used this signal functions as ddr1_ca5 o c1 ddr1_a2 emif1 address bus; when lpddr2 is used this signal functions as ddr1_ca6 o d3 ddr1_a3 emif1 address bus o r4 ddr1_a4 emif1 address bus o t4 ddr1_a5 emif1 address bus o n3 ddr1_a6 emif1 address bus o t2 ddr1_a7 emif1 address bus o n2 ddr1_a8 emif1 address bus o t1 ddr1_a9 emif1 address bus o u1 ddr1_a10 emif1 address bus; when lpddr2 is used this signal functions as ddr1_ca4 o d1 ddr1_a11 emif1 address bus o r3 ddr1_a12 emif1 address bus o u2 ddr1_a13 emif1 address bus; when lpddr2 is used this signal functions as ddr1_ca3 o c3 ddr1_a14 emif1 address bus o r2 ddr1_a15 emif1 address bus o v1 ddr1_d0 emif1 data bus io aa6 ddr1_d1 emif1 data bus io aa8 ddr1_d2 emif1 data bus io y8 ddr1_d3 emif1 data bus io aa7 ddr1_d4 emif1 data bus io ab4 ddr1_d5 emif1 data bus io y5 ddr1_d6 emif1 data bus io aa4 ddr1_d7 emif1 data bus io y6 ddr1_d8 emif1 data bus io aa18 ddr1_d9 emif1 data bus io y21 ddr1_d10 emif1 data bus io aa21 ddr1_d11 emif1 data bus io y22 ddr1_d12 emif1 data bus io aa19 ddr1_d13 emif1 data bus io ab20 ddr1_d14 emif1 data bus io y17 ddr1_d15 emif1 data bus io ab18 ddr1_d16 emif1 data bus io aa3 ddr1_d17 emif1 data bus io aa2 ddr1_d18 emif1 data bus io y3 ddr1_d19 emif1 data bus io v2 ddr1_d20 emif1 data bus io u3 ddr1_d21 emif1 data bus io v3 ddr1_d22 emif1 data bus io y2 ddr1_d23 emif1 data bus io y1 ddr1_d24 emif1 data bus io u21 ddr1_d25 emif1 data bus io t20 ddr1_d26 emif1 data bus io r21
57 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-11. emif signal descriptions (continued) signal name description type ball ddr1_d27 emif1 data bus io u20 ddr1_d28 emif1 data bus io r22 ddr1_d29 emif1 data bus io v20 ddr1_d30 emif1 data bus io w22 ddr1_d31 emif1 data bus io u22 ddr1_ecc_d0 emif1 ecc data bus io y11 ddr1_ecc_d1 emif1 ecc data bus io aa12 ddr1_ecc_d2 emif1 ecc data bus io aa11 ddr1_ecc_d3 emif1 ecc data bus io y9 ddr1_ecc_d4 emif1 ecc data bus io aa13 ddr1_ecc_d5 emif1 ecc data bus io ab11 ddr1_ecc_d6 emif1 ecc data bus io aa9 ddr1_ecc_d7 emif1 ecc data bus io ab9 ddr1_dqm0 emif1 data mask io ab8 ddr1_dqm1 emif1 data mask io y18 ddr1_dqm2 emif1 data mask io ab3 ddr1_dqm3 emif1 data mask io w21 ddr1_dqm_ecc emif1 ecc data mask io ab13 ddr1_dqs0 data strobe 0 input/output for byte 0 of the 32-bit data bus. this signal is output to the emif1 memory when writing and input when reading. io aa5 ddr1_dqs1 data strobe 1 input/output for byte 1 of the 32-bit data bus. this signal is output to the emif1 memory when writing and input when reading. io aa20 ddr1_dqs2 data strobe 2 input/output for byte 2 of the 32-bit data bus. this signal is output to the emif1 memory when writing and input when reading. io w1 ddr1_dqs3 data strobe 3 input/output for byte 3 of the 32-bit data bus. this signal is output to the emif1 memory when writing and input when reading. io t21 ddr1_dqsn0 data strobe 0 invert io ab5 ddr1_dqsn1 data strobe 1 invert io y20 ddr1_dqsn2 data strobe 2 invert io w2 ddr1_dqsn3 data strobe 3 invert io t22 ddr1_dqsn_ecc emif1 ecc complementary data strobe io ab10 ddr1_dqs_ecc emif1 ecc data strobe input/output. this signal is output to the emif1 memory when writing and input when reading. io aa10 4.4.9 general-purpose memory controller (gpmc) note for more information, see the memory subsystem / general-purpose memory controller section of the device trm. table 4-12. gpmc signal descriptions signal name description type ball gpmc_ad0 gpmc data 0 in a/d nonmultiplexed mode and additionally address 1 in a/d multiplexed mode io e8 gpmc_ad1 gpmc data 1 in a/d nonmultiplexed mode and additionally address 2 in a/d multiplexed mode io a7 gpmc_ad2 gpmc data 2 in a/d nonmultiplexed mode and additionally address 3 in a/d multiplexed mode io f8 gpmc_ad3 gpmc data 3 in a/d nonmultiplexed mode and additionally address 4 in a/d multiplexed mode io b7
58 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-12. gpmc signal descriptions (continued) signal name description type ball gpmc_ad4 gpmc data 4 in a/d nonmultiplexed mode and additionally address 5 in a/d multiplexed mode io a6 gpmc_ad5 gpmc data 5 in a/d nonmultiplexed mode and additionally address 6 in a/d multiplexed mode io f7 gpmc_ad6 gpmc data 6 in a/d nonmultiplexed mode and additionally address 7 in a/d multiplexed mode io e7 gpmc_ad7 gpmc data 7 in a/d nonmultiplexed mode and additionally address 8 in a/d multiplexed mode io c6 gpmc_ad8 gpmc data 8 in a/d nonmultiplexed mode and additionally address 9 in a/d multiplexed mode io b6 gpmc_ad9 gpmc data 9 in a/d nonmultiplexed mode and additionally address 10 in a/d multiplexed mode io a5 gpmc_ad10 gpmc data 10 in a/d nonmultiplexed mode and additionally address 11 in a/d multiplexed mode io d6 gpmc_ad11 gpmc data 11 in a/d nonmultiplexed mode and additionally address 12 in a/d multiplexed mode io c5 gpmc_ad12 gpmc data 12 in a/d nonmultiplexed mode and additionally address 13 in a/d multiplexed mode io b5 gpmc_ad13 gpmc data 13 in a/d nonmultiplexed mode and additionally address 14 in a/d multiplexed mode io d7 gpmc_ad14 gpmc data 14 in a/d nonmultiplexed mode and additionally address 15 in a/d multiplexed mode io b4 gpmc_ad15 gpmc data 15 in a/d nonmultiplexed mode and additionally address 16 in a/d multiplexed mode io a4 gpmc_a0 gpmc address 0. only used to effectively address 8-bit data nonmultiplexed memories o u9 gpmc_a1 gpmc address 1 in a/d nonmultiplexed mode and address 17 in a/d multiplexed mode o w11 gpmc_a2 gpmc address 2 in a/d nonmultiplexed mode and address 18 in a/d multiplexed mode o v9 gpmc_a3 gpmc address 3 in a/d nonmultiplexed mode and address 19 in a/d multiplexed mode o w9 gpmc_a4 gpmc address 4 in a/d nonmultiplexed mode and address 20 in a/d multiplexed mode o u8 gpmc_a5 gpmc address 5 in a/d nonmultiplexed mode and address 21 in a/d multiplexed mode o w8 gpmc_a6 gpmc address 6 in a/d nonmultiplexed mode and address 22 in a/d multiplexed mode o u7 gpmc_a7 gpmc address 7 in a/d nonmultiplexed mode and address 23 in a/d multiplexed mode o v7 gpmc_a8 gpmc address 8 in a/d nonmultiplexed mode and address 24 in a/d multiplexed mode o j17 gpmc_a9 gpmc address 9 in a/d nonmultiplexed mode and address 25 in a/d multiplexed mode o k22 gpmc_a10 gpmc address 10 in a/d nonmultiplexed mode and address 26 in a/d multiplexed mode o k21 gpmc_a11 gpmc address 11 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o k18 gpmc_a12 gpmc address 12 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o d14 , f13 , f14 , k17 gpmc_a13 gpmc address 13 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o c14 , d15 , e14 , k19 gpmc_a14 gpmc address 14 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o k20 gpmc_a15 gpmc address 15 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o l21
59 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-12. gpmc signal descriptions (continued) signal name description type ball gpmc_a16 gpmc address 16 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o f14 gpmc_a17 gpmc address 17 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o c14 gpmc_a18 gpmc address 18 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o f15 gpmc_a19 gpmc address 19 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o f16 gpmc_a20 gpmc address 20 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o aa14 gpmc_a21 gpmc address 21 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o ab14 gpmc_a22 gpmc address 22 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o u13 gpmc_a23 gpmc address 23 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o v13 gpmc_a24 gpmc address 24 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o y13 gpmc_a25 gpmc address 25 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o w13 gpmc_a26 gpmc address 26 in a/d nonmultiplexed mode and unused in a/d multiplexed mode o u11 gpmc_a27 gpmc address 27 in a/d nonmultiplexed mode and address 27 in a/d multiplexed mode o v11 gpmc_cs0 gpmc chip select 0 (active low) o c10 gpmc_cs1 gpmc chip select 1 (active low) o e10 gpmc_cs2 gpmc chip select 2 (active low) o d10 gpmc_cs3 gpmc chip select 3 (active low) o a9 gpmc_cs4 gpmc chip select 4 (active low) o b9 gpmc_cs5 gpmc chip select 5 (active low) o f10 gpmc_cs6 gpmc chip select 6 (active low) o c8 gpmc_cs7 gpmc chip select 7 (active low) o w6 gpmc_clk (1) gpmc clock output io c12 , d14 , f14 , f15 gpmc_advn_ale gpmc address valid active low or address latch enable o f12 gpmc_oen_ren gpmc output enable active low or read enable o a10 gpmc_wen gpmc write enable active low o b10 gpmc_ben0 gpmc lower-byte enable active low o d12 gpmc_ben1 gpmc upper-byte enable active low o e12 gpmc_wait0 gpmc external indication of wait 0 i d8 gpmc_wait1 gpmc external indication of wait 1 i w7 (1) the gpio6_16.clkout0 signal can be used as an ? always-on ? alternative to gpmc_clk provided that the external device can support the associated timing. see table 7-8 , gpmc/nor flash interface switching characteristics - synchronous mode - 1 load and table 7-10 , gpmc/nor flash interface switching characteristics - synchronous mode - 5 loads for timing information. 4.4.10 timers note for more information, see the timers section of the device trm.
60 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-13. timers signal descriptions signal name description type ball timer1 pwm output/event trigger input io d14 , r7 timer2 pwm output/event trigger input io d15 , d6 timer3 pwm output/event trigger input io c5 , f14 , l1 timer4 pwm output/event trigger input io c14 , c6 , l2 timer5 pwm output/event trigger input io e7 , n4 timer6 pwm output/event trigger input io f7 , r5 timer7 pwm output/event trigger input io b6 , f15 timer8 pwm output/event trigger input io f16 4.4.11 inter-integrated circuit interface (i 2 c) note for more information, see the serial communication interface / multimaster i2c controller / i2c environment / i2c pins for typical connections in i2c mode section of the device trm. table 4-14. i 2 c signal descriptions signal name description type ball inter-integrated circuit interface (i2c1) i2c1_scl i2c1 clock iod l3 i2c1_sda i2c1 data iod l4 inter-integrated circuit interface (i2c2) i2c2_scl i2c2 clock iod l6 i2c2_sda i2c2 data iod l5 4.4.12 universal asynchronous receiver transmitter (uart) note for more information see the serial communication interface uart section of the device trm. caution the io timings provided in section 7 , timing requirements and switching characteristics are only valid if signals within a single ioset are used. the iosets are defined in table 7-19 . table 4-15. uart signal descriptions signal name description type ball universal asynchronous receiver/transmitter (uart1) uart1_ctsn uart1 clear to send active low i f14 uart1_rtsn uart1 request to send active low o c14 uart1_rxd uart1 receive data i f13 uart1_txd uart1 transmit data o e14 universal asynchronous receiver/transmitter (uart2) uart2_ctsn uart2 clear to send active low i f15 uart2_rtsn uart2 request to send active low o f16
61 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-15. uart signal descriptions (continued) signal name description type ball uart2_rxd uart2 receive data i d14 , e7 uart2_txd uart2 transmit data o d15 , f7 universal asynchronous receiver/transmitter (uart3) uart3_ctsn uart3 clear to send active low i n4 , u6 uart3_rtsn uart3 request to send active low o r7 , t5 uart3_rxd uart3 receive data i f14 , l1 , m2 , w7 uart3_txd uart3 transmit data o c14 , l2 , r6 , w6 4.4.13 multichannel serial peripheral interface (mcspi) note for more information, see the serial communication interface / multichannel serial peripheral interface (mcspi) section of the device trm. caution the io timings provided in section 7 , timing requirements and switching characteristics are applicable for all combinations of signals for spi2 and spi4. however, the timings are only valid for spi1 and spi3 if signals within a single ioset are used. the iosets are defined in table 7-22 . table 4-16. spi signal descriptions signal name description type ball serial peripheral interface 1 spi1_sclk spi1 clock io m2 spi1_d0 spi1 data. can be configured as either miso or mosi. io t5 spi1_d1 spi1 data. can be configured as either miso or mosi. io u6 spi1_cs0 spi1 chip select io m1 , r6 spi1_cs1 spi1 chip select io m1 , r5 spi1_cs2 spi1 chip select io f14 , w7 spi1_cs3 spi1 chip select io c14 , w6 serial peripheral interface 2 spi2_sclk spi2 clock io l1 spi2_d0 spi2 data. can be configured as either miso or mosi. io r7 spi2_d1 spi2 data. can be configured as either miso or mosi. io n4 spi2_cs0 spi2 chip select io a4 , l2 spi2_cs1 spi2 chip select io b4 , m1 serial peripheral interface 3 spi3_sclk spi3 clock io c6 , f15 spi3_d0 spi3 data. can be configured as either miso or mosi. io d15 , e7 spi3_d1 spi3 data. can be configured as either miso or mosi. io d14 , f7 spi3_cs0 spi3 chip select io b6 , f16 , m1 spi3_cs1 spi3 chip select io a5 , r5 serial peripheral interface 4 spi4_sclk spi4 clock io c16 , f14 spi4_d0 spi4 data. can be configured as either miso or mosi. io b17 , e14
62 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-16. spi signal descriptions (continued) signal name description type ball spi4_d1 spi4 data. can be configured as either miso or mosi. io b19 , f13 spi4_cs0 spi4 chip select io c14 , c17 4.4.14 quad serial peripheral interface (qspi) note for more information see the serial communication interface / quad serial peripheral interface section of the device trm. caution the io timings provided in section 7 , timing requirements and switching characteristics are only valid if signals within a single ioset are used. the iosets are defined in table 7-25 . table 4-17. qspi signal descriptions signal name description type ball qspi1_sclk qspi1 serial clock o c8 qspi1_rtclk qspi1 return clock input.must be connected from qspi1_sclk on pcb. refer to pcb guidelines for qspi1 i b7 , c14 , d8 , f13 qspi1_d0 qspi1 data[0]. this pin is output data for all commands/writes and for dual read and quad read modes it becomes input data pin during read phase. io b9 qspi1_d1 qspi1 data[1]. input read data in all modes. io f10 qspi1_d2 qspi1 data[2]. this pin is used only in quad read mode as input data pin during read phase io a9 qspi1_d3 qspi1 data[3]. this pin is used only in quad read mode as input data pin during read phase io d10 qspi1_cs0 qspi1 chip select[0]. this pin is used for qspi1 boot modes. io e10 qspi1_cs1 qspi1 chip select[1] io f15 4.4.15 multichannel audio serial port (mcasp) note for more information, see the serial communication interface / multichannel audio serial port (mcasp) section of the device trm. caution the io timings provided in section 7 , timing requirements and switching characteristics are only valid if signals within a single ioset are used. the iosets are defined in table 7-32 . table 4-18. mcasp signal descriptions signal name description type ball multichannel audio serial port 1 mcasp1_axr0 mcasp1 transmit/receive data io w16
63 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-18. mcasp signal descriptions (continued) signal name description type ball mcasp1_axr1 mcasp1 transmit/receive data io v16 mcasp1_axr2 mcasp1 transmit/receive data io u15 mcasp1_axr3 mcasp1 transmit/receive data io v15 mcasp1_axr4 mcasp1 transmit/receive data io y15 mcasp1_axr5 mcasp1 transmit/receive data io w15 mcasp1_axr6 mcasp1 transmit/receive data io aa15 mcasp1_axr7 mcasp1 transmit/receive data io ab15 mcasp1_axr8 mcasp1 transmit/receive data io aa14 , u15 , u9 mcasp1_axr9 mcasp1 transmit/receive data io ab14 , v15 , w11 mcasp1_axr10 mcasp1 transmit/receive data io u13 , v9 , y15 mcasp1_axr11 mcasp1 transmit/receive data io v13 , w15 , w9 mcasp1_axr12 mcasp1 transmit/receive data io aa15 , u8 , y13 mcasp1_axr13 mcasp1 transmit/receive data io ab15 , w13 , w8 mcasp1_axr14 mcasp1 transmit/receive data io u11 , u7 mcasp1_axr15 mcasp1 transmit/receive data io v11 , v7 mcasp1_fsx mcasp1 transmit frame sync io w17 mcasp1_aclkr mcasp1 receive bit clock io aa17 mcasp1_fsr mcasp1 receive frame sync io u16 mcasp1_ahclkx mcasp1 transmit high-frequency master clock o u9 mcasp1_aclkx mcasp1 transmit bit clock io u17 multichannel audio serial port 2 mcasp2_axr0 mcasp2 transmit/receive data io d6 , v9 mcasp2_axr1 mcasp2 transmit/receive data io c5 , w9 mcasp2_axr2 mcasp2 transmit/receive data io b5 , u8 mcasp2_axr3 mcasp2 transmit/receive data io d7 , w8 mcasp2_axr4 mcasp2 transmit/receive data io b4 , u7 mcasp2_axr5 mcasp2 transmit/receive data io a4 , v7 mcasp2_fsx mcasp2 transmit frame sync io e7 , v11 mcasp2_aclkr mcasp2 receive bit clock io b6 , w13 mcasp2_fsr mcasp2 receive frame sync io a5 , w11 mcasp2_ahclkx mcasp2 transmit high-frequency master clock o c6 , y13 mcasp2_aclkx mcasp2 transmit bit clock io f7 , u11 multichannel audio serial port 3 mcasp3_axr0 mcasp3 transmit/receive data io g19 mcasp3_axr1 mcasp3 transmit/receive data io g18 mcasp3_axr2 mcasp3 transmit/receive data io g21 mcasp3_axr3 mcasp3 transmit/receive data io g22 mcasp3_axr4 mcasp3 transmit/receive data io h18 mcasp3_axr5 mcasp3 transmit/receive data io h20 mcasp3_fsx mcasp3 transmit frame sync io h22 mcasp3_ahclkx mcasp3 transmit high-frequency master clock o h19 mcasp3_aclkx mcasp3 transmit bit clock io f22 mcasp3_aclkr mcasp3 receive bit clock io f20 mcasp3_fsr mcasp3 receive frame sync io f19
64 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated 4.4.16 controller area network interface (dcan and mcan) note for more information, see the serial communication interface / dcan section of the device trm. caution the io timings provided in section 7 , timing requirements and switching characteristics are only valid if signals within a single ioset are used. the iosets are defined in table 7-36 . table 4-19. dcan and mcan signal descriptions signal name description type ball dcan 1 dcan1_rx dcan1 receive data pin io c14 , d15 , n6 dcan1_tx dcan1 transmit data pin io d14 , f14 , n5 mcan mcan_rx mcan receive data pin io e14 , f16 , w6 mcan_tx mcan transmit data pin io f13 , f15 , w7 4.4.17 ethernet interface (gmac_sw) note for more information, see the serial communication interfaces / gigabit ethernet switch (gmac_sw) section of the device trm. table 4-20. gmac signal descriptions signal name description type ball rgmii0_rxc rgmii0 receive clock i b18 rgmii0_rxctl rgmii0 receive control i c18 rgmii0_rxd0 rgmii0 receive data i a20 rgmii0_rxd1 rgmii0 receive data i c20 rgmii0_rxd2 rgmii0 receive data i b20 rgmii0_rxd3 rgmii0 receive data i a19 rgmii0_txc rgmii0 transmit clock o c16 rgmii0_txctl rgmii0 transmit enable o c17 rgmii0_txd0 rgmii0 transmit data o f17 rgmii0_txd1 rgmii0 transmit data o e17 rgmii0_txd2 rgmii0 transmit data o d16 rgmii0_txd3 rgmii0 transmit data o e16 rgmii1_rxc rgmii1 receive clock i d7 rgmii1_rxctl rgmii1 receive control i c10 rgmii1_rxd0 rgmii1 receive data i f8 rgmii1_rxd1 rgmii1 receive data i a7 rgmii1_rxd2 rgmii1 receive data i e8 rgmii1_rxd3 rgmii1 receive data i d8 rgmii1_txc rgmii1 transmit clock o c12
65 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-20. gmac signal descriptions (continued) signal name description type ball rgmii1_txctl rgmii1 transmit enable o d12 rgmii1_txd0 rgmii1 transmit data o b10 rgmii1_txd1 rgmii1 transmit data o a10 rgmii1_txd2 rgmii1 transmit data o f12 rgmii1_txd3 rgmii1 transmit data o e12 mdio_d management data io b17 mdio_mclk management data serial clock o b19 4.4.18 sdio controller note for more information, see the sdio controller section of the device trm. caution the io timings provided in section 7 , timing requirements and switching characteristics are only valid if signals within a single ioset are used. the iosets are defined in table 7-51 . table 4-21. sdio controller signal descriptions signal name description type ball multi media card 1 mmc_clk mmc1 clock io b18 , c16 , w16 mmc_cmd mmc1 command io c17 , c18 , v16 mmc_dat0 mmc1 data bit 0 io a19 , e16 , u15 mmc_dat1 mmc1 data bit 1 io b20 , d16 , v15 mmc_dat2 mmc1 data bit 2 io c20 , e17 , y15 mmc_dat3 mmc1 data bit 3 io a20 , f17 , w15 4.4.19 general-purpose interface (gpio) note for more information, see the general-purpose interface section of the device trm. caution the io timings provided in section 7 , timing requirements and switching characteristics are only valid if signals within a single ioset are used. the iosets are defined in table 7-52 . table 4-22. gpios signal descriptions signal name description type ball gpio 1 gpio1_0 general-purpose input/output io c12 gpio1_1 general-purpose input/output io d12
66 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-22. gpios signal descriptions (continued) signal name description type ball gpio1_2 general-purpose input/output io e12 gpio1_3 general-purpose input/output io f12 gpio1_4 general-purpose input/output io a10 gpio1_5 general-purpose input/output io b10 gpio1_6 general-purpose input/output io c10 gpio1_7 general-purpose input/output io e10 gpio1_8 general-purpose input/output io d10 gpio1_9 general-purpose input/output io a9 gpio1_10 general-purpose input/output io b9 gpio1_11 general-purpose input/output io f10 gpio1_12 general-purpose input/output io c8 gpio1_13 general-purpose input/output io d8 gpio1_14 general-purpose input/output io e8 gpio1_15 general-purpose input/output io a7 gpio1_16 general-purpose input/output io f8 gpio1_17 general-purpose input/output io b7 gpio1_18 general-purpose input/output io a6 gpio1_19 general-purpose input/output io f7 gpio1_20 general-purpose input/output io e7 gpio1_21 general-purpose input/output io c6 gpio1_22 general-purpose input/output io b6 gpio1_23 general-purpose input/output io a5 gpio1_24 general-purpose input/output io d6 gpio1_25 general-purpose input/output io c5 gpio1_26 general-purpose input/output io b5 gpio1_27 general-purpose input/output io d7 gpio1_28 general-purpose input/output io b4 gpio1_29 general-purpose input/output io a4 gpio1_30 general-purpose input/output io f22 gpio1_31 general-purpose input/output io f21 gpio 2 gpio2_0 general-purpose input/output io f20 gpio2_1 general-purpose input/output io f19 gpio2_2 general-purpose input/output io g19 gpio2_3 general-purpose input/output io g18 gpio2_4 general-purpose input/output io g21 gpio2_5 general-purpose input/output io g22 gpio2_6 general-purpose input/output io h18 gpio2_7 general-purpose input/output io h20 gpio2_8 general-purpose input/output io h19 gpio2_9 general-purpose input/output io h22 gpio2_10 general-purpose input/output io h21 gpio2_11 general-purpose input/output io j17 gpio2_12 general-purpose input/output io k22 gpio2_13 general-purpose input/output io k21 gpio2_14 general-purpose input/output io k18 gpio2_15 general-purpose input/output io k17
67 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-22. gpios signal descriptions (continued) signal name description type ball gpio2_16 general-purpose input/output io k19 gpio2_17 general-purpose input/output io k20 gpio2_18 general-purpose input/output io l21 gpio2_19 general-purpose input/output io l22 gpio2_20 general-purpose input/output io ab17 gpio2_21 general-purpose input/output io u17 gpio2_22 general-purpose input/output io w17 gpio2_23 general-purpose input/output io aa17 gpio2_24 general-purpose input/output io u16 gpio2_25 general-purpose input/output io w16 gpio2_26 general-purpose input/output io v16 gpio2_27 general-purpose input/output io u15 gpio2_28 general-purpose input/output io v15 gpio2_29 general-purpose input/output io y15 gpio2_30 general-purpose input/output io w15 gpio2_31 general-purpose input/output io aa15 gpio 3 gpio3_0 general-purpose input/output io ab15 gpio3_1 general-purpose input/output io aa14 gpio3_2 general-purpose input/output io ab14 gpio3_3 general-purpose input/output io u13 gpio3_4 general-purpose input/output io v13 gpio3_5 general-purpose input/output io y13 gpio3_6 general-purpose input/output io w13 gpio3_7 general-purpose input/output io u11 gpio3_8 general-purpose input/output io v11 gpio3_9 general-purpose input/output io u9 gpio3_10 general-purpose input/output io w11 gpio3_11 general-purpose input/output io v9 gpio3_12 general-purpose input/output io w9 gpio3_13 general-purpose input/output io u8 gpio3_14 general-purpose input/output io w8 gpio3_15 general-purpose input/output io u7 gpio3_16 general-purpose input/output io v7 gpio3_17 general-purpose input/output io b19 gpio3_18 general-purpose input/output io b17 gpio3_19 general-purpose input/output io c16 gpio3_20 general-purpose input/output io c17 gpio3_21 general-purpose input/output io e16 gpio3_22 general-purpose input/output io d16 gpio3_23 general-purpose input/output io e17 gpio3_24 general-purpose input/output io f17 gpio3_25 general-purpose input/output io b18 gpio3_26 general-purpose input/output io c18 gpio3_27 general-purpose input/output io a19 gpio3_28 general-purpose input/output io b20 gpio3_29 general-purpose input/output io c20
68 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-22. gpios signal descriptions (continued) signal name description type ball gpio3_30 general-purpose input/output io a20 gpio3_31 general-purpose input/output io m1 gpio 4 gpio4_0 general-purpose input/output io m2 gpio4_1 general-purpose input/output io u6 gpio4_2 general-purpose input/output io t5 gpio4_3 general-purpose input/output io r6 gpio4_4 general-purpose input/output io r5 gpio4_5 general-purpose input/output io l1 gpio4_6 general-purpose input/output io n4 gpio4_7 general-purpose input/output io r7 gpio4_8 general-purpose input/output io l2 gpio4_9 general-purpose input/output io n5 gpio4_10 general-purpose input/output io n6 gpio4_11 general-purpose input/output io w7 gpio4_12 general-purpose input/output io w6 gpio4_13 general-purpose input/output io f13 gpio4_14 general-purpose input/output io e14 gpio4_15 general-purpose input/output io f14 gpio4_16 general-purpose input/output io c14 gpio4_17 general-purpose input/output io d14 gpio4_18 general-purpose input/output io d15 gpio4_19 general-purpose input/output io f15 gpio4_20 general-purpose input/output io f16 gpio4_21 general-purpose input/output io m17 gpio4_22 general-purpose input/output io m18 gpio4_25 general-purpose input/output io j1 gpio4_26 general-purpose input/output io j4 gpio4_27 general-purpose input/output io j6 gpio4_28 general-purpose input/output io h1 gpio4_29 general-purpose input/output io h2 4.4.20 pulse width modulation (pwm) interface note for more information, see pulse width modulation subsystem (pwmss) section of the device trm. table 4-23. pwm signal descriptions signal name description type ball pwmss1 ehrpwm1a ehrpwm1 output a o d12 , d14 , l1 ehrpwm1b ehrpwm1 output b o d15 , e12 , l2 ehrpwm1_tripzone_input ehrpwm1 trip zone input io f12 , r5 ecap1_in_pwm1_out ecap1 capture input / pwm output io a5 , ab15 , d16 , f16 , n4 ehrpwm1_synci ehrpwm1 sync input i a10 , f14
69 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-23. pwm signal descriptions (continued) signal name description type ball ehrpwm1_synco ehrpwm1 sync output o b10 , c14 4.4.21 test interfaces note for more information, see the on-chip debug support section of the device trm. table 4-24. debug signal descriptions signal name description type ball rtck jtag return clock o j6 tclk jtag test clock i j2 tdi jtag test data i j1 tdo jtag test port data o j4 tms jtag test port mode select. an external pullup resistor should be used on this ball. io j3 trstn jtag test reset i j5 emu0 emulator pin 0 io h1 emu1 emulator pin 1 io h2 emu2 emulator pin 2 o aa15 emu3 emulator pin 3 o ab15 emu4 emulator pin 4 o aa14 emu5 emulator pin 5 o ab14 emu6 emulator pin 6 o u13 emu7 emulator pin 7 o v13 emu8 emulator pin 8 o y13 emu9 emulator pin 9 o w13 emu10 emulator pin 10 o u11 emu11 emulator pin 11 o v11 emu12 emulator pin 12 o u9 emu13 emulator pin 13 o w11 emu14 emulator pin 14 o v9 emu15 emulator pin 15 o w9 emu16 emulator pin 16 o u8 emu17 emulator pin 17 o w8 emu18 emulator pin 18 o u7 emu19 emulator pin 19 o v7 4.4.22 system and miscellaneous 4.4.22.1 sysboot note for more information, see the initialization (rom code) section of the device trm.
70 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-25. sysboot signal descriptions signal name description type ball sysboot0 boot mode configuration 0. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i e8 sysboot1 boot mode configuration 1. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i a7 sysboot2 boot mode configuration 2. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i f8 sysboot3 boot mode configuration 3. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i b7 sysboot4 boot mode configuration 4. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i a6 sysboot5 boot mode configuration 5. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i f7 sysboot6 boot mode configuration 6. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i e7 sysboot7 boot mode configuration 7. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i r7 sysboot8 boot mode configuration 8. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i b6 sysboot9 boot mode configuration 9. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i a5 sysboot10 boot mode configuration 10. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i d6 sysboot11 boot mode configuration 11. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i c5 sysboot12 boot mode configuration 12. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i b5 sysboot13 boot mode configuration 13. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i d7 sysboot14 boot mode configuration 14. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i b4 sysboot15 boot mode configuration 15. the value latched on this pin upon porz reset release will determine the boot mode configuration of the device. i a4 4.4.22.2 power, reset and clock management (prcm) note for more information, see power, reset, and clock management section of the device trm. table 4-26. prcm signal descriptions signal name description type ball clkout0 device clock output 1. can be used externally for devices with non-critical timing requirements, or for debug, or as a reference clock on gpmc as described in table 7-8 , gpmc/nor flash interface switching characteristics - synchronous mode - 1 load and table 7-10 , gpmc/nor flash interface switching characteristics - synchronous mode - 5 loads . o ab17 , c12 , f14 , f22 , m1 clkout1 device clock output 2. can be used as a system clock for other devices. o f12 , f21 , u17 clkout2 device clock output 3. can be used as a system clock for other devices. o a10 , f20 , w17 rstoutn reset out (active low). this pin asserts low in response to any global reset condition on the device. o f4 resetn device reset input i g4 porz power on reset (active low). this pin must be asserted low until all device supplies are valid (see reset sequence/requirements). i g3 xref_clk0 external reference clock 0. for audio and other peripherals. i m1
71 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-26. prcm signal descriptions (continued) signal name description type ball xref_clk1 external reference clock 1. for audio and other peripherals. i f14 , f15 xref_clk2 external reference clock 2. for audio and other peripherals. i h19 xi_osc0 system oscillator osc0 crystal input / lvcmos clock input. functions as the input connection to a crystal when the internal oscillator osc0 is used. functions as an lvcmos-compatible input clock when an external oscillator is used. i e22 xi_osc1 auxiliary oscillator osc1 crystal input / lvcmos clock input. functions as the input connection to a crystal when the internal oscillator osc1 is used. functions as an lvcmos-compatible input clock when an external oscillator is used i b21 xo_osc0 system oscillator osc0 crystal output o d22 xo_osc1 auxiliary oscillator osc1 crystal output o c21 4.4.22.3 enhanced direct memory access (edma) note for more information, see the dma controllers / enhanced dma section of the device trm. table 4-27. edma signal descriptions signal name description type ball dma_evt1 enhanced dma event input 1 i c12 , k17 dma_evt2 enhanced dma event input 2 i d12 , k19 dma_evt3 enhanced dma event input 3 i e12 dma_evt4 enhanced dma event input 4 i f12 , d8 4.4.22.4 interrupt controllers (intc) note for more information, see the interrupt controllers section of the device trm. table 4-28. intc signal descriptions signal name description type ball nmin non maskable interrupt input - active-low. this pin can be optionally routed to the dsp nmi input or as generic input to the arm cores. i g5 sys_nirq1 external interrupt event to any device intc i k18 , k22 sys_nirq2 external interrupt event to any device intc i j17 , k21 esm_error this signal indicates a severe device failure. for more information see error signaling module in the device trm. io aa15 , b17 4.4.23 power supplies note for more information, see power, reset, and clock management / prcm subsystem environment / external voltage inputs section of the device trm.
72 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-29. power supply signal descriptions signal name description type ball vdd core voltage domain supply pwr h7 , h12 , h13 , j10 , j11 , j15 , k12 , l12 , l15 , n12 , n16 , p10 , p14 vss ground gnd a1 , a8 , a17 , a22 , b22 , e1 , g10 , g16 , h8 , h9 , h10 , h11 , h15 , h16 , j22 , k1 , k9 , k10 , k11 , k13 , k14 , k15 , k16 , m10 , m11 , m12 , m13 , m16 , n7 , n10 , p1 , p15 , p16 , r9 , r12 , r16 , t14 , v22 , ab1 , ab2 , ab7 , ab12 , ab16 , ab21 , ab22 vdd_dspeve dsp-eve voltage domain supply pwr k8 , l8 , m9 , p8 , p9 , p11 , p12 vdda_per per pll and per hsdivider analog power supply pwr h14 vdda_ddr_dsp eve pll, dpll_ddr and ddr hsdivider analog power supply pwr n8 vdda_gmac_core gmac pll, gmac hsdivider, dpll_core and core hsdivider analog power supply pwr m8 vdda_osc io supply for oscillator section pwr e21 vssa_osc0 osc0 analog ground gnd d21 vssa_osc1 osc1 analog ground gnd c22 vdda_csi csi analog power supply pwr a14 vssa_csi csi analog ground gnd b14 vdda_dac dac analog power supply pwr u19 vssa_dac dac analog ground gnd t19 vdda_adc adc analog power supply pwr p22 vssa_adc adc analog ground gnd p21 vdds18v 1.8v power supply and power group bias supply pwr g12 , j7 , l16 , p13 , t11 vdds18v_ddr1 1.8v bias supply for byte0, byte2, ecc byte, addr cmd pwr p7 , t9 vdds18v_ddr2 1.8v bias supply for addr cmd pwr g7 vdds18v_ddr3 1.8v bias supply for byte1, byte3 pwr t16 , v21 vdds_ddr1 io power supply for byte0, byte2, ecc byte, addr cmd pwr r1 , t7 , t8 , aa1 , ab6 vdds_ddr2 io power supply for addr cmd pwr c2 , e2 , g6 vdds_ddr3 io power supply for byte1, byte3 pwr t15 , aa22 , ab19 vddshv1 dual voltage (1.8v or 3.3v) power supply for the general power group pins pwr k2 , k7 , l7 , m7 vddshv2 dual voltage (1.8v or 3.3v) power supply for the gpmc power group pins pwr g8 , g9 , g11 , b8 vddshv3 dual voltage (1.8v or 3.3v) power supply for the uart1 and uart2 power group pins pwr g14 vddshv4 dual voltage (1.8v or 3.3v) power supply for the rgmii power group pins pwr a18 , e20 vddshv5 dual voltage (1.8v or 3.3v) power supply for the vin1 power group pins pwr h17 , j16 , j21 vddshv6 dual voltage (1.8v or 3.3v) power supply for the vout1 power group pins pwr t10 , t12 , t13 , aa16 cap_vddram_core1 (1) sram array supply for core voltage domain memories cap n15 cap_vddram_core2 (1) sram array supply for core voltage domain memories cap m15
73 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 terminal configuration and functions copyright ? 2016 ? 2018, texas instruments incorporated table 4-29. power supply signal descriptions (continued) signal name description type ball cap_vddram_dspeve (1) sram array supply for dsp-eve memories cap m14 (1) this pin must always be connected via a 1-uf capacitor to vss.
74 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated 5 specifications note for more information, see power, reset and clock management / prcm subsystem environment / external voltage inputs or initialization / preinitialization / power requirements section of the device trm. note the index number 1 which is part of the emif1 signal prefixes (ddr1_*) listed in section 4.4.8 , external memory interface (emif) , column "signal name" are not to be confused with ddr1 type of sdram memories. note audio back end (abe) module is not supported for this family of devices, but ? abe ? name is still present in some clock or dpll names. caution all io cells are not fail-safe compliant and should not be externally driven in absence of their io supply. 5.1 absolute maximum ratings stresses beyond those listed as absolute maximum ratings may cause permanent damage to the device. these are stress ratings only, and functional operation of the device at these or any other conditions beyond those listed under section 5.4 , recommended operating conditions , is not implied. exposure to absolute maximum rated conditions for extended periods may affect device reliability. table 5-1. absolute maximum rating over junction temperature range parameter (1) description min max unit v supply (steady-state) supply voltage ranges (steady- state) core (vdd, vdd_dspeve) -0.3 1.5 v analog (vdda_per, vdda_ddr_dsp, vdda_gmac_core, vdda_osc, vdda_csi, vdda_dac, vdda_adc) -0.3 2.0 v vdds_ddr1, vdds_ddr2, vdds_ddr3 (1.35v mode) -0.3 1.65 v vdds_ddr1, vdds_ddr2, vdds_ddr3 (1.5v mode) -0.3 1.8 v vdds_ddr1, vdds_ddr2, vdds_ddr3 (1.8v mode) -0.3 2.1 v vdds18v, vdds18v_ddr1, vdds18v_ddr2, vdds18v_ddr3 -0.3 2.1 v vddshv1-6 (1.8v mode) -0.3 2.1 v vddshv1-6 (3.3v mode) -0.3 3.8 v v io (steady-state) input and output voltage ranges (steady-state) core i/os -0.3 1.5 v analog i/os -0.3 2.0 v i/o 1.35v -0.3 1.65 v i/o 1.5v -0.3 1.8 v 1.8v i/os -0.3 2.1 v 3.3v i/os -0.3 3.8 v sr maximum slew rate, all supplies 10 5 v/s
75 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-1. absolute maximum rating over junction temperature range (continued) parameter (1) description min max unit v io (transient overshoot / undershoot) input and output voltage ranges (transient overshoot / undershoot) note: valid for up to 20% of the signal period 0.2 vdd (2) v t j operating junction temperature range automotive -40 +125 c t stg storage temperature range after soldered onto pc board -55 +150 c latch-up i-test i-test (3) , all i/os (if different levels then one line per level) -100 100 ma latch-up ov-test over-voltage test (4) , all supplies (if different levels then one line per level) n/a 1.5 vsup ply max v (1) see i/os supplied by this power pin in ball characteristics. (2) vdd is the voltage on the corresponding power-supply pin(s) for the io. (3) per jedec jesd78 at 125 c with specified i/o pin injection current and clamp voltage of 1.5 times maximum recommended i/o voltage and negative 0.5 times maximum recommended i/o voltage. (4) per jedec jesd78 at 125 c. 5.2 esd ratings table 5-2. esd ratings value unit v esd electrostatic discharge human-body model (hbm), per aec q100-002 (1) 1000 v charged-device model (cdm), per aec q100-011 all pins 250 corner pins (a1, ab1, a22, ab22) 750 (1) aec q100-002 indicates that hbm stressing shall be in accordance with the ansi/esda/jedec js-001 specification. 5.3 power on hour (poh) limits the information in this section is provided solely for your convenience and does not extend or modify the warranty provided under ti ? s standard terms and conditions for ti semiconductor products. note poh is a functional of voltage, temperature and time. usage at higher voltages and temperatures will result in a reduction in poh to achieve the same reliability performance. for assessment of alternate use cases, contact your local ti representative. table 5-3. power on hour (poh) limits ip duty cycle voltage domain voltage (v) (max) frequency (mhz) (max) tj( c) poh all 100% all all support opps automotive profile (1) 20000 (1) automotive profile is defined as 20000 power on hours with junction temperature as follows: 5%@-40 c, 65%@70 c, 20%@110 c, 10%@125 c.
76 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated 5.4 recommended operating conditions the device is used under the recommended operating conditions described in table 5-4 . note logic functions and parameter values are not assured out of the range specified in the recommended operating conditions. table 5-4. recommended operating conditions parameter description min (2) nom max dc (3) max (2) unit input power supply voltage range vdd core voltage domain supply see section 5.5 v vdd_dspeve dsp-eve voltage domain supply see section 5.5 v vdda_per per pll and per hsdivider analog power supply 1.71 1.80 1.836 1.89 v maximum noise (peak-peak) 50 mv ppmax vdda_ddr_dsp eve pll, dpll_ddr and ddr hsdivider analog power supply 1.71 1.80 1.836 1.89 v maximum noise (peak-peak) 50 mv ppmax vdda_gmac_core gmac pll, gmac hsdivider, dpll_core and core hsdivider analog power supply 1.71 1.80 1.836 1.89 v maximum noise (peak-peak) 50 mv ppmax vdda_osc i/o supply for oscillator section 1.71 1.80 1.836 1.89 v maximum noise (peak-peak) 50 mv ppmax vdda_csi csi analog power supply 1.71 1.80 1.836 1.89 v maximum noise (peak-peak) 50 mv ppmax vdda_dac dac analog power supply 1.71 1.80 1.836 1.89 v maximum noise (peak-peak) 50 mv ppmax vdda_adc adc analog power supply 1.71 1.80 1.836 1.89 v maximum noise (peak-peak) 50 mv ppmax vdds18v 1.8v power supply and power group bias supply 1.71 1.80 1.836 1.89 v maximum noise (peak-peak) 50 mv ppmax vdds18v_ddr1 1.8v bias supply for byte0, byte2, ecc byte, addr cmd 1.71 1.80 1.836 1.89 v maximum noise (peak-peak) 50 mv ppmax vdds18v_ddr2 1.8v bias supply for addr cmd 1.71 1.80 1.836 1.89 v maximum noise (peak-peak) 50 mv ppmax vdds18v_ddr3 1.8v bias supply for byte1, byte3 1.71 1.80 1.836 1.89 v maximum noise (peak-peak) 50 mv ppmax vdds_ddr1 emif power supply (1.8v for ddr2 mode / 1.5v for ddr3 mode / 1.35v ddr3l mode) 1.35-v mode 1.28 1.35 1.377 1.42 v 1.5-v mode 1.43 1.50 1.53 1.57 1.8-v mode 1.71 1.80 1.836 1.89 maximum noise (peak- peak) 1.35-v mode 50 mv ppmax 1.5-v mode 1.8-v mode
77 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-4. recommended operating conditions (continued) parameter description min (2) nom max dc (3) max (2) unit vdds_ddr2 emif power supply (1.8v for ddr2 mode / 1.5v for ddr3 mode / 1.35v ddr3l mode) 1.35-v mode 1.28 1.35 1.377 1.42 v 1.5-v mode 1.43 1.50 1.53 1.57 1.8-v mode 1.71 1.80 1.836 1.89 maximum noise (peak- peak) 1.35-v mode 50 mv ppmax 1.5-v mode 1.8-v mode vdds_ddr3 emif power supply (1.8v for ddr2 mode / 1.5v for ddr3 mode / 1.35v ddr3l mode) 1.35-v mode 1.28 1.35 1.377 1.42 v 1.5-v mode 1.43 1.50 1.53 1.57 1.8-v mode 1.71 1.80 1.836 1.89 maximum noise (peak- peak) 1.35-v mode 50 mv ppmax 1.5-v mode 1.8-v mode vddshv1 dual voltage (1.8v or 3.3v) power supply for the general power group pins 1.8-v mode 1.71 1.80 1.836 1.89 v 3.3-v mode 3.135 3.30 3.366 3.465 maximum noise (peak- peak) 1.8-v mode 50 mv ppmax 3.3-v mode vddshv2 dual voltage (1.8v or 3.3v) power supply for the gpmc power group pins 1.8-v mode 1.71 1.80 1.836 1.89 v 3.3-v mode 3.135 3.30 3.366 3.465 maximum noise (peak- peak) 1.8-v mode 50 mv ppmax 3.3-v mode vddshv3 dual voltage (1.8v or 3.3v) power supply for the uart1 and uart2 power group pins 1.8-v mode 1.71 1.80 1.836 1.89 v 3.3-v mode 3.135 3.30 3.366 3.465 maximum noise (peak- peak) 1.8-v mode 50 mv ppmax 3.3-v mode vddshv4 dual voltage (1.8v or 3.3v) power supply for the rgmii power group pins 1.8-v mode 1.71 1.80 1.836 1.89 v 3.3-v mode 3.135 3.30 3.366 3.465 maximum noise (peak- peak) 1.8-v mode 50 mv ppmax 3.3-v mode vddshv5 dual voltage (1.8v or 3.3v) power supply for the vin1 power group pins 1.8-v mode 1.71 1.80 1.836 1.89 v 3.3-v mode 3.135 3.30 3.366 3.465 maximum noise (peak- peak) 1.8-v mode 50 mv ppmax 3.3-v mode vddshv6 dual voltage (1.8v or 3.3v) power supply for the vout1 power group pins 1.8-v mode 1.71 1.80 1.836 1.89 v 3.3-v mode 3.135 3.30 3.366 3.465 maximum noise (peak- peak) 1.8-v mode 50 mv ppmax 3.3-v mode vss ground supply 0 v vssa_osc0 osc0 analog ground 0 v
78 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-4. recommended operating conditions (continued) parameter description min (2) nom max dc (3) max (2) unit vssa_osc1 osc1 analog ground 0 v vssa_csi csi analog ground supply 0 v vssa_dac dac analog ground supply 0 v vssa_adc adc analog ground supply 0 v t j (1) operating junction temperature range automotive -40 125 c (1) refer to power on hours table table 5-3 for limitations. (2) the voltage at the device ball should never be below the min voltage or above the max voltage for any amount of time. this requirement includes dynamic voltage events such as ac ripple, voltage transients, voltage dips, etc. (3) the dc voltage at the device ball should never be above the max dc voltage to avoid impact on device reliability and lifetime poh (power-on-hours). the max dc voltage is defined as the highest allowed dc regulated voltage, without transients, seen at the ball. 5.5 operating performance points this section describes the operating conditions of the device. this section also contains the description of each opp (operating performance point) for processor clocks and device core clocks. table 5-5 describes the maximum supported frequency per speed grade for the devices. table 5-5. speed grade maximum frequency device speed maximum frequency (mhz) dsp eve ipu iss l3 ddr3/ddr3l ddr2 lpddr2 adc tda3xxa 250 250 212.8 212.8 266 333 (ddr-667) 333 (ddr-667) 333 (ddr-667) 20 tda3xxb 355 355 212.8 212.8 266 532 (ddr-1066) 400 (ddr-800) 333 (ddr-667) 20 tda3xxd 500 500 212.8 212.8 266 532 (ddr-1066) 400 (ddr-800) 333 (ddr-667) 20 tda3xxr 745 667 212.8 212.8 266 532 (ddr-1066) 400 (ddr-800) 333 (ddr-667) 20 5.5.1 avs requirements adaptive voltage scaling (avs) is required on most of the vdd_* supplies as defined in table 5-6 . table 5-6. avs requirements per vdd_* supply supply avs required? vdd yes, for all opps vdd_dspeve yes, for all opps 5.5.2 voltage and core clock specifications table 5-7 shows the recommended opp per voltage domain. table 5-7. voltage domains operating performance points domain condition opp_nom opp_od opp_high min (2) nom (1) max (2) min (2) nom (1) max (2) min (2) nom (1) max dc (3) max (2) vd_core (v) boot (before avs is enabled) (4) 1.02 1.06 1.11 not applicable not applicable after avs is enabled (4) avs voltage (5) ? 3.5% avs voltage (5) 1.11 not applicable not applicable
79 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-7. voltage domains operating performance points (continued) domain condition opp_nom opp_od opp_high min (2) nom (1) max (2) min (2) nom (1) max (2) min (2) nom (1) max dc (3) max (2) vd_dspeve (v) boot (before avs is enabled) (4) 1.02 1.06 1.11 not applicable not applicable after avs is enabled (4) avs voltage (5) ? 3.5% avs voltage (5) 1.11 avs voltage (5) ? 3.5% avs voltage (5) avs voltage (5) + 5% avs voltage (5) ? 3.5% avs voltage (5) avs voltage (5) + 2% avs voltage (5) + 5% (1) in a typical implementation, the power supply should target the nom voltage. (2) the voltage at the device ball should never be below the min voltage or above the max voltage for any amount of time. this requirement includes dynamic voltage events such as ac ripple, voltage transients, voltage dips, etc. (3) the dc voltage at the device ball should never be above the max dc voltage to avoid impact on device reliability and lifetime poh (power-on-hours). the max dc voltage is defined as the highest allowed dc regulated voltage, without transients, seen at the ball. (4) for all opps, avs must be enabled to avoid impact on device reliability, lifetime poh (power-on-hours), and device power. (5) the avs voltages are device-dependent, voltage domain-dependent, and opp-dependent. they must be read from the std_fuse_opp. for information about std_fuse_opp registers address, please refer to control module section of the trm. the power supply should be adjustable over the following ranges for each required opp: ? opp_nom: 0.85v - 1.06v ? opp_od: 0.94v - 1.15v ? opp_high: 1.05v - 1.25v the avs voltages will be within the above specified ranges. table 5-8 describes the standard processor clocks speed characteristics vs opp of the device. table 5-8. supported opp vs max frequency (2) description opp_nom opp_od opp_high max freq. (mhz) max freq. (mhz) max freq. (mhz) vd_dspeve dsp_clk 500 709 745 eve_fclk 500 667 667 vd_core core_ipu1_clk 212.8 n/a n/a iss 212.8 n/a n/a l3_clk 266 n/a n/a ddr3 / ddr3l 532 (ddr-1066) n/a n/a ddr2 400 (ddr-800) n/a n/a lppdr2 333 (ddr-667) n/a n/a adc 20 n/a n/a (1) n/a in this table stands for not applicable. (2) maximum supported frequency is limited according to the device speed grade (see table 5-5 ). 5.5.3 maximum supported frequency device modules either receive their clock directly from an external clock input, directly from a pll, or from a prcm. table 5-9 lists the clock source options for each module on this device, along with the maximum frequency that module can accept. to ensure proper module functionality, the device plls and dividers must be programmed not to exceed the maximum frequencies listed in this table.
80 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-9. maximum supported frequency module clock sources instance name input clock name clock type max. clock allowed (mhz) prcm clock name pll / osc / source clock name pll / osc / source name adc ocp_clk int 133 l4per2_l3_gicl k core_x2_clk dpll_core adc_clk func 20 adc_clk sys_clk1 osc0 sys_clk2 osc1 xref_clk0 xref_clk0 csi2 scpclk int & func 106.4 iss_main_fclk core_iss_main_ clk dpll_core counter_32k counter_32k_f clk func 0.032 func_32k_clk sys_clk1/610 osc0 counter_32k_i clk int 38.4 wkupaon_gicl k sys_clk1 osc0 crc ocp_clk_pi int & func 133 crc_l3_giclk core_x2_clk dpll_core ctrl_module_ bandgap l3instr_ts_gcl k int 5 l3instr_ts_gcl k sys_clk1 osc0 abe_lp_clk dpll_ddr ctrl_module_ core l4cfg_l4_giclk int 133 l4_iclk core_x2_clk dpll_core ctrl_module_ wkup wkupaon_gicl k int 38.4 wkupaon_gicl k sys_clk1 osc0 abe_lp_clk dpll_ddr dcan1 dcan1_fclk func 20 dcan1_sys_clk sys_clk1 osc0 sys_clk2 osc1 dcan1_iclk int 133 wkupaon_gicl k sys_clk1 osc0 abe_lp_clk dpll_ddr mcan mcan_fclk func 80 mcan_clk mcan_clk dpll_gmac_dsp mcan_iclk int 133 l4per2_l3_gicl k core_x2_clk dpll_core dcc1 ocp_clk_pi int 133 l4per3_l3_gicl k core_x2_clk dpll_core dcc2 ocp_clk_pi int 133 l4per3_l3_gicl k core_x2_clk dpll_core dcc3 ocp_clk_pi int 133 l4per3_l3_gicl k core_x2_clk dpll_core dcc4 ocp_clk_pi int 133 l4per3_l3_gicl k core_x2_clk dpll_core dcc5 ocp_clk_pi int 133 l4per_l3_giclk core_x2_clk dpll_core dcc6 ocp_clk_pi int 133 l4per_l3_giclk core_x2_clk dpll_core dcc7 ocp_clk_pi int 133 l4per_l3_giclk core_x2_clk dpll_core dll emif_dll_fclk func 266 emif_dll_gclk emif_dll_gclk dpll_ddr dsp1 dsp1_ficlk int & func dsp_clk dsp1_gfclk dsp_gfclk dpll_eve_vid_d sp dpll_core dpll_gmac_dsp dsp2 dsp2_ficlk int & func dsp_clk dsp2_gfclk dsp_gfclk dpll_eve_vid_d sp dpll_core dpll_gmac_dsp dss dss_fck_clk int & func 192 dss_gfclk dss_gfclk dpll_per dss_vp_clk func 165 vid_pix_clk vid_pix_clk dpll_eve_vid_d sp
81 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-9. maximum supported frequency (continued) module clock sources instance name input clock name clock type max. clock allowed (mhz) prcm clock name pll / osc / source clock name pll / osc / source name dss dispc dispc_fck_clk int & func 192 dss_gfclk dss_gfclk dpll_per dispc_clk1 int 165 vid_pix_clk vid_pix_clk dpll_eve_vid_d sp efuse_ctrl_c ust ocp_clk int 133 custefuse_l4_ giclk core_x2_clk dpll_core sys_clk func 38.4 custefuse_sys _gfclk sys_clk1 osc0 elm elm_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core emif_ocp_fw l3_clk int 266 emif_l3_giclk core_x2_clk dpll_core l4_clken int 133 emif_l4_giclk core_x2_clk dpll_core emif_phy emif_phy_fclk func ddr emif_phy_gclk emif_phy_gclk dpll_ddr emif_dll_fclk int 266 emif_dll_gclk - dpll_ddr emif emif_iclk int 266 emif_l3_giclk core_x2_clk dpll_core emif_l3_iclk int 266 l3_eocp_giclk - - emif_ficlk func ddr/2 emif_phy_gclk/ 2 emif_phy_gclk dpll_ddr esm ocp_clk int & func 133 l4per_l3_giclk core_x2_clk dpll_core eve eve_fclk func eve_fclk eve_clk eve_gclk dpll_core dpll_gmac_dsp eve_gfclk dpll_eve_vid_d sp gmac_sw cpts_rft_clk func 266 gmac_rft_clk l3_iclk dpll_core sys_clk1 osc0 main_clk int 125 gmac_main_clk gmac_250m_clk dpll_gmac_dsp mhz_250_clk func 250 gmii_250mhz_cl k gmii_250mhz_cl k dpll_gmac_dsp mhz_5_clk func 5 rgmii_5mhz_clk rmii_50mhz_clk /10 dpll_gmac_dsp mhz_50_clk func 50 rmii_50mhz_clk gmac_rmii_hs_ clk dpll_gmac_dsp gpio1 gpio1_iclk int 38.4 wkupaon_gicl k sys_clk1 osc0 gpio1_dbclk func 0.032 wkupaon_32k_ gfclk sys_clk1/610 osc0 gpio2 gpio2_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core gpio2_dbclk func 0.032 func_32k_clk sys_clk1/610 osc0 gpio3 gpio3_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core gpio3_dbclk func 0.032 func_32k_clk sys_clk1/610 osc0 gpio4 gpio4_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core gpio4_dbclk func 0.032 func_32k_clk sys_clk1/610 osc0 gpmc gpmc_iclk int & func 266 l3main1_l3_gic lk core_x2_clk dpll_core i2c1 i2c1_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core i2c1_fclk func 96 per_96m_gfclk func_192m_clk dpll_per i2c2 i2c2_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core i2c2_fclk func 96 per_96m_gfclk func_192m_clk dpll_per ieee1500_2_oc p pi_l3clk int & func 266 l3init_l3_giclk core_x2_clk dpll_core
82 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-9. maximum supported frequency (continued) module clock sources instance name input clock name clock type max. clock allowed (mhz) prcm clock name pll / osc / source clock name pll / osc / source name ipu1 ipu1_gfclk int & func ipu_clk ipu1_gfclk dpll_abe_x2_cl k dpll_ddr core_ipu_iss_b oost_clk dpll_core l3_instr l3_clk int l3_clk l3instr_l3_gicl k core_x2_clk dpll_core l4_cfg l4_cfg_clk int 133 l4cfg_l3_giclk core_x2_clk dpll_core l4_per1 l4_per1_clk int 133 l4per_l3_giclk core_x2_clk dpll_core l4_per2 l4_per2_clk int 133 l4per2_l3_gicl k core_x2_clk dpll_core l4_per3 l4_per3_clk int 133 l4per3_l3_gicl k core_x2_clk dpll_core l4_wkup l4_wkup_clk int 38.4 wkupaon_gicl k sys_clk1 osc0 abe_lp_clk dpll_ddr mailbox1 mailbox1_flck int 133 l4cfg_l3_giclk core_x2_clk dpll_core mailbox2 mailbox2_flck int 133 l4cfg_l3_giclk core_x2_clk dpll_core mcasp1 mcasp1_ahclk r func 50 mcasp1_ahclk r abe_24m_gfclk dpll_ddr abe_sys_clk sys_clk1 func_24m_gfcl k dpll_per sys_clk1 osc0 atl_clk0 module atl atl_clk1 module atl atl_clk2 module atl atl_clk3 module atl sys_clk2 osc1 xref_clk0 ref_clkin0 xref_clk1 ref_clkin1 xref_clk2 ref_clkin2 mcasp1_ahclkx func 50 mcasp1_ahclkx abe_24m_gfclk dpll_ddr abe_sys_clk sys_clk1 func_24m_gfcl k dpll_per sys_clk1 osc0 atl_clk0 module atl atl_clk1 module atl atl_clk2 module atl atl_clk3 module atl sys_clk2 osc1 xref_clk0 ref_clkin0 xref_clk1 ref_clkin1 xref_clk2 ref_clkin2 mcasp1_fclk func 133 mcasp1_aux_gf clk l4_iclk dpll_core sys_clk1 osc0 mcasp1_iclk int 266 ipu_l3_giclk core_x2_clk dpll_core
83 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-9. maximum supported frequency (continued) module clock sources instance name input clock name clock type max. clock allowed (mhz) prcm clock name pll / osc / source clock name pll / osc / source name mcasp2 mcasp2_ahclk r func 50 mcasp6_ahclk r abe_24m_gfclk dpll_ddr abe_sys_clk sys_clk1 func_24m_gfcl k dpll_per sys_clk1 osc0 atl_clk0 module atl atl_clk1 module atl atl_clk2 module atl atl_clk3 module atl sys_clk2 osc1 xref_clk0 ref_clkin0 xref_clk1 ref_clkin1 xref_clk2 ref_clkin2 mcasp2_ahclkx func 50 mcasp4_ahclkx abe_24m_gfclk dpll_ddr abe_sys_clk sys_clk1 func_24m_gfcl k dpll_per sys_clk1 osc0 atl_clk0 module atl atl_clk1 module atl atl_clk2 module atl atl_clk3 module atl sys_clk2 osc1 xref_clk0 ref_clkin0 xref_clk1 ref_clkin1 xref_clk2 ref_clkin2 mcasp2_fclk func 133 mcasp4_aux_gf clk l4_iclk dpll_core sys_clk1 osc0 mcasp2_iclk int 133 l4per2_l3_gicl k core_x2_clk dpll_core
84 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-9. maximum supported frequency (continued) module clock sources instance name input clock name clock type max. clock allowed (mhz) prcm clock name pll / osc / source clock name pll / osc / source name mcasp3 mcasp3_ahclk r func 50 mcasp7_ahclk r abe_24m_gfclk dpll_ddr abe_sys_clk sys_clk1 func_24m_gfcl k dpll_per sys_clk1 osc0 atl_clk0 module atl atl_clk1 module atl atl_clk2 module atl atl_clk3 module atl sys_clk2 osc1 xref_clk0 ref_clkin0 xref_clk1 ref_clkin1 xref_clk2 ref_clkin2 mcasp3_ahclkx func 50 mcasp5_ahclkx abe_24m_gfclk dpll_ddr abe_sys_clk sys_clk1 func_24m_gfcl k dpll_per sys_clk1 osc0 atl_clk0 module atl atl_clk1 module atl atl_clk2 module atl atl_clk3 module atl sys_clk2 osc1 xref_clk0 ref_clkin0 xref_clk1 ref_clkin1 xref_clk2 ref_clkin2 mcasp3_fclk func 133 mcasp5_aux_gf clk l4_iclk dpll_core sys_clk1 osc0 mcasp3_iclk int 133 l4per2_l3_gicl k core_x2_clk dpll_core mcspi1 spi1_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core spi1_fclk func 48 per_48m_gfclk func_192m_clk dpll_per mcspi2 spi2_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core spi2_fclk func 48 per_48m_gfclk func_192m_clk dpll_per mcspi3 spi3_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core spi3_fclk func 48 per_48m_gfclk func_192m_clk dpll_per mcspi4 spi4_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core spi4_fclk func 48 per_48m_gfclk func_192m_clk dpll_per mmc1 mmc_clk_32k func 0.032 func_32k_clk sys_clk1/610 osc0 mmc_fclk func 192 mmc4_gfclk func_192m_clk dpll_per 48 func_48m_fclk dpll_per mmc_iclk int 133 l3init_l3_giclk core_x2_clk dpll_core mmu_edma mmu_clk int 266 l3main1_l3_gic lk core_x2_clk dpll_core ocmc_ram ocmc_l3_clk int 266 l3main1_l3_gic lk core_x2_clk dpll_core
85 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-9. maximum supported frequency (continued) module clock sources instance name input clock name clock type max. clock allowed (mhz) prcm clock name pll / osc / source clock name pll / osc / source name ocp_wp_noc piclkocpl3 int 266 l3instr_l3_gicl k core_x2_clk dpll_core pwmss1 pwmss1_giclk int & func 133 l4per2_l3_gicl k core_x2_clk dpll_core qspi qspi_iclk int 266 l4per2_l3_gicl k core_x2_clk dpll_core qspi_fclk func 128 qspi_gfclk func_128m_clk dpll_per per_qspi_clk dpll_per rti1 ocp_clk_pi int 133 wkupaon_gicl k core_x2_clk dpll_core rti_clk_pi func 13 rti1_clk sys_clk1/4 osc0 sys_clk2/4 osc1 func_32k_clk osc0 rti2 ocp_clk_pi int 133 wkupaon_gicl k core_x2_clk dpll_core rti_clk_pi func 13 rti2_clk sys_clk1/4 osc0 sys_clk2/4 osc1 func_32k_clk osc0 rti3 ocp_clk_pi int 133 wkupaon_gicl k core_x2_clk dpll_core rti_clk_pi func 13 rti3_clk sys_clk1/4 osc0 sys_clk2/4 osc1 func_32k_clk osc0 rti4 ocp_clk_pi int 133 wkupaon_gicl k core_x2_clk dpll_core rti_clk_pi func 13 rti4_clk sys_clk1/4 osc0 sys_clk2/4 osc1 func_32k_clk osc0 rti5 ocp_clk_pi int 133 wkupaon_gicl k core_x2_clk dpll_core rti_clk_pi func 13 rti5_clk sys_clk1/4 osc0 sys_clk2/4 osc1 func_32k_clk osc0 sd_dac clkdac func 50 vid_pix_clk vid_pix_clk dpll_eve_vid_d sp sl2 piclk int iva_gclk iva_gclk iva_gfclk dpll_iva spinlock spinlock_iclk int 133 l4cfg_l3_giclk core_x2_clk dpll_core tesoc l3_clk func 266 l3main1_l3_gic lk core_x2_clk dpll_core ext_clk func 50 ext_clk tesoc_ext_clk dpll_core timer1 timer1_iclk int 133 wkupaon_gicl k sys_clk1 osc0 abe_lp_clk dpll_ddr timer1_fclk func 38.4 timer1_gfclk sys_clk1 osc0 func_32k_clk sys_32k sys_clk2 osc1 xref_clk0 xref_clk0 xref_clk1 xref_clk1 abe_giclk dpll_ddr
86 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-9. maximum supported frequency (continued) module clock sources instance name input clock name clock type max. clock allowed (mhz) prcm clock name pll / osc / source clock name pll / osc / source name timer2 timer2_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core timer2_fclk func 100 timer2_gfclk sys_clk1 osc0 func_32k_clk sys_32k sys_clk2 osc1 xref_clk0 xref_clk0 xref_clk1 xref_clk1 abe_giclk dpll_ddr timer3 timer3_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core timer3_fclk func 100 timer3_gfclk sys_clk1 osc0 func_32k_clk sys_32k sys_clk2 osc1 xref_clk0 xref_clk0 xref_clk1 xref_clk1 abe_giclk dpll_ddr timer4 timer4_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core timer4_fclk func 100 timer4_gfclk sys_clk1 osc0 func_32k_clk sys_32k sys_clk2 osc1 xref_clk0 xref_clk0 xref_clk1 xref_clk1 abe_giclk dpll_ddr timer5 timer5_iclk int 133 ipu_l3_giclk core_x2_clk dpll_core timer5_fclk func 100 timer5_gfclk sys_clk1 osc0 func_32k_clk sys_32k sys_clk2 osc1 xref_clk0 xref_clk0 xref_clk1 xref_clk1 abe_giclk dpll_ddr clkoutmux0_cl k clkoutmux0 timer6 timer6_iclk int 133 ipu_l3_giclk core_x2_clk dpll_core timer6_fclk func 100 timer6_gfclk sys_clk1 osc0 func_32k_clk osc0 sys_clk2 osc1 xref_clk0 xref_clk0 xref_clk1 xref_clk1 abe_giclk dpll_ddr clkoutmux0_cl k clkoutmux0
87 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-9. maximum supported frequency (continued) module clock sources instance name input clock name clock type max. clock allowed (mhz) prcm clock name pll / osc / source clock name pll / osc / source name timer7 timer7_iclk int 133 ipu_l3_giclk core_x2_clk dpll_core timer7_fclk func 100 timer7_gfclk sys_clk1 osc0 func_32k_clk osc0 sys_clk2 osc1 xref_clk0 xref_clk0 xref_clk1 xref_clk1 abe_giclk dpll_ddr clkoutmux0_cl k clkoutmux0 timer8 timer8_iclk int 133 ipu_l3_giclk core_x2_clk dpll_core timer8_fclk func 100 timer8_gfclk sys_clk1 osc0 func_32k_clk osc0 sys_clk2 osc1 xref_clk0 xref_clk0 xref_clk1 xref_clk1 abe_giclk dpll_ddr clkoutmux0_cl k clkoutmux0 tpcc tpcc_gclk int 266 l3main1_l3_gic lk core_x2_clk dpll_core tptc1 tptc0_gclk int 266 l3main1_l3_gic lk core_x2_clk dpll_core tptc2 tptc1_gclk int 266 l3main1_l3_gic lk core_x2_clk dpll_core uart1 uart1_fclk func 192 uart1_gfclk func_192m_clk dpll_per 48 func_48m_fclk dpll_per uart1_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core uart2 uart2_fclk func 192 uart2_gfclk func_192m_clk dpll_per 48 func_48m_fclk dpll_per uart2_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core uart3 uart3_fclk func 192 uart3_gfclk func_192m_clk dpll_per 48 func_48m_fclk dpll_per uart3_iclk int 133 l4per_l3_giclk core_x2_clk dpll_core vcp1 vcp1_clk int 266 l3main1_l3_gic lk core_x2_clk dpll_core vip1 proc_clk func 266 vip1_gclk l3_iclk dpll_core core_iss_main_ clk dpll_core l3_clk int l4_clk int 133 vip1_gclkdiv2 vip1_gclk/2 dpll_core 5.6 power consumption summary note maximum power consumption for this soc depends on the specific use conditions for the end system. contact your ti representative for assistance in estimating maximum power consumption for the end system use case.
88 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated 5.7 electrical characteristics note the data specified in section 5.7.1 through section 5.7.6 are subject to change. note the interfaces or signals described in section 5.7.1 through section 5.7.6 correspond to the interfaces or signals available in multiplexing mode 0 (function 1). all interfaces or signals multiplexed on the balls described in these tables have the same dc electrical characteristics, unless multiplexing involves a phy/gpio combination in which case different dc electrical characteristics are specified for the different multiplexing modes (functions). 5.7.1 lvcmos ddr dc electrical characteristics table 5-10 summarizes the dc electrical characteristics for lvcmos ddr buffers. note for more information on the i/o cell configurations (i[2:0], sr[1:0]), see control module section of the device trm.
89 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-10. lvcmos ddr dc electrical characteristics parameter min nom max unit signal names in muxmode 0 (single-ended signals) abf: ddr1_d[31:0], ddr1_a[15:0], ddr1_dqm[3:0], ddr1_ba[2:0], ddr1_csn[1:0], ddr1_cke[1:0], ddr1_odt[0], ddr1_casn, ddr1_rasn, ddr1_wen, ddr1_rst, ddr1_ecc_d[7:0], ddr1_dqm_ecc; driver mode v oh high-level output threshold (i oh = 0.1 ma) 0.9 vdds v v ol low-level output threshold (i ol = 0.1 ma) 0.1 vdds v c pad pad capacitance (including package capacitance) 3 pf z o output impedance (drive strength) l[2:0] = 000 (imp80) 80 l[2:0] = 001 (imp60) 60 l[2:0] = 010 (imp48) 48 l[2:0] = 011 (imp40) 40 l[2:0] = 100 (imp34) 34 single-ended receiver mode v ih high-level input threshold ddr3/ddr3l vref+0.1 vdds+0.2 v v il low-level input threshold ddr3/ddr3l -0.2 vref-0.1 v v cm input common-mode voltage vref -1%vdds vref+ 1%vdds v c pad pad capacitance (including package capacitance) pf signal names in muxmode 0 (differential signals): ddr1_dqs[3:0], ddr1_dqsn[3:0], ddr1_ck, ddr1_nck, ddr1_dqs_ecc, ddr1_dqsn_ecc; driver mode v oh high-level output threshold (i oh = 0.1 ma) 0.9 vdds v v ol low-level output threshold (i ol = 0.1 ma) 0.1 vdds v c pad pad capacitance (including package capacitance) 3 pf z o output impedance (drive strength) l[2:0] = 000 (imp80) 80 l[2:0] = 001 (imp60) 60 l[2:0] = 010 (imp48) 48 l[2:0] = 011 (imp40) 40 l[2:0] = 100 (imp34) 34 single-ended receiver mode v ih high-level input threshold ddr3/ddr3l vref+0.1 vdds+0.2 v v il low-level input threshold ddr3/ddr3l -0.2 vref-0.1 v v cm input common-mode voltage vref -1%vdds vref+ 1%vdds v c pad pad capacitance (including package capacitance) 3 pf differential receiver mode v swing input voltage swing ddr3/ddr3l 0.4 vdds 0.6 vdds v v cm input common-mode voltage vref -1%vdds vref+ 1%vdds v c pad pad capacitance (including package capacitance) 3 pf
90 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated (1) vdds in this table stands for corresponding power supply (i.e. vdds_ddr1 or vdds_ddr2). for more information on the power supply name and the corresponding ball, see table 4-2 , power [11] column. 5.7.2 dual voltage lvcmos i2c dc electrical characteristics table 5-11 summarizes the dc electrical characteristics for dual voltage lvcmos i2c buffers. note for more information on the i/o cell configurations, see the control module section of the device trm. table 5-11. dual voltage lvcmos i2c dc electrical characteristics parameter min nom max unit signal names in muxmode 0: i2c2_scl; i2c1_scl; i2c1_sda; i2c2_sda; balls abf: l3, l4, l6, l5; i 2 c standard mode ? 1.8 v v ih input high-level threshold 0.7 vdds v v il input low-level threshold 0.3 vdds v v hys hysteresis 0.1 vdds v i i input current at each i/o pin with an input voltage between 0.1 vdds to 0.9 vdds 12 a i oz i oz (i pad current) at each io pin. pad is swept from 0 to vdds and the max(i(pad)) is measured and is reported as i oz 12 a c i input capacitance 10 pf v ol3 output low-level threshold open-drain at 3-ma sink current 0.2 vdds v i olmin low-level output current @v ol =0.2 vdds 3 ma t of output fall time from v ihmin to v ilmax with a bus capacitance cb from 5 pf to 400 pf 250 ns i 2 c fast mode ? 1.8 v v ih input high-level threshold 0.7 vdds v v il input low-level threshold 0.3 vdds v v hys hysteresis 0.1 vdds v i i input current at each i/o pin with an input voltage between 0.1 vdds to 0.9 vdds 12 a i oz i oz (i pad current) at each io pin. pad is swept from 0 to vdds and the max(i(pad)) is measured and is reported as i oz 12 a c i input capacitance 10 pf v ol3 output low-level threshold open-drain at 3-ma sink current 0.2 vdds v i olmin low-level output current @v ol =0.2 vdds 3 ma t of output fall time from v ihmin to v ilmax with a bus capacitance cb from 10 pf to 400 pf 20+0.1 c b 250 ns i 2 c standard mode ? 3.3 v v ih input high-level threshold 0.7 vdds v v il input low-level threshold 0.3 vdds v v hys hysteresis 0.05 vdd s v i i input current at each i/o pin with an input voltage between 0.1 vdds to 0.9 vdds 31 80 a i oz i oz (i pad current) at each io pin. pad is swept from 0 to vdds and the max(i(pad)) is measured and is reported as i oz 31 80 a c i input capacitance 10 pf v ol3 output low-level threshold open-drain at 3-ma sink current 0.4 v i olmin low-level output current @v ol =0.4v 3 ma
91 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-11. dual voltage lvcmos i2c dc electrical characteristics (continued) parameter min nom max unit i olmin low-level output current @v ol =0.6v for full drive load (400pf/400khz) 6 ma t of output fall time from v ihmin to v ilmax with a bus capacitance cb from 5 pf to 400 pf 250 ns i 2 c fast mode ? 3.3 v v ih input high-level threshold 0.7 vdds v v il input low-level threshold 0.3 vdds v v hys hysteresis 0.05 vdd s v i i input current at each i/o pin with an input voltage between 0.1 vdds to 0.9 vdds 31 80 a i oz i oz (i pad current) at each io pin. pad is swept from 0 to vdds and the max(i(pad)) is measured and is reported as i oz 31 80 a c i input capacitance 10 pf v ol3 output low-level threshold open-drain at 3-ma sink current 0.4 v i olmin low-level output current @v ol =0.4v 3 ma i olmin low-level output current @v ol =0.6v for full drive load (400pf/400khz) 6 ma t of output fall time from vihmin to vilmax with a bus capacitance cb from 10 pf to 200 pf (proper external resistor value should be used as per i2c spec) 20+0.1 c b 250 ns output fall time from v ihmin to v ilmax with a bus capacitance cb from 300 pf to 400 pf (proper external resistor value should be used as per i2c spec) 40 290 (1) vdds in this table stands for corresponding power supply (i.e. vddshv3). for more information on the power supply name and the corresponding ball, see table 4-2 , power [11] column. 5.7.3 iq1833 buffers dc electrical characteristics table 5-12 summarizes the dc electrical characteristics for iq1833 buffers. table 5-12. iq1833 buffers dc electrical characteristics parameter min nom max unit signal names in muxmode 0: tclk; balls abf: j2; 1.8-v mode v ih input high-level threshold 0.75 vdds v v il input low-level threshold 0.25 vdds v v hys input hysteresis voltage 100 mv i in input current at each i/o pin 2 11 a c pad pad capacitance (including package capacitance) 1 pf 3.3-v mode v ih input high-level threshold 2.0 v v il input low-level threshold 0.6 v v hys input hysteresis voltage 400 mv i in input current at each i/o pin 5 11 a c pad pad capacitance (including package capacitance) 1 pf
92 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated (1) vdds in this table stands for corresponding power supply (i.e. vddshv1). for more information on the power supply name and the corresponding ball, see table 4-2 , power [11] column. 5.7.4 ihhv1833 buffers dc electrical characteristics table 5-13 summarizes the dc electrical characteristics for ihhv1833 buffers. table 5-13. ihhv1833 buffers dc electrical characteristics parameter min nom max unit signal names in muxmode 0: porz; balls abf: g3; 1.8-v mode v ih input high-level threshold 1.2 v v il input low-level threshold 0.4 v v hys input hysteresis voltage 40 mv i in input current at each i/o pin 0.02 1 a c pad pad capacitance (including package capacitance) 1 pf 3.3-v mode v ih input high-level threshold 1.2 v v il input low-level threshold 0.4 v v hys input hysteresis voltage 40 mv i in input current at each i/o pin 5 8 a c pad pad capacitance (including package capacitance) 1 pf 5.7.5 lvcmos analog osc buffers dc electrical characteristics table 5-14 summarizes the dc electrical characteristics for lvcmos analog osc buffers. table 5-14. lvcmos analog osc buffers dc electrical characteristics parameter description min nom max unit signal names in muxmode 0: xi_osc0, xo_osc0, xi_osc1, xo_osc1; balls abf: e22, d22, b21, c21; v ih input high-level threshold 0.65 vdds v v il input low-level threshold 0.35 vdds v i oh hfenable=0 1.18 ma hfenable=1 2 ma i ol hfenable=0 2 ma hfenable=1 3.2 ma v hys input hysteresis voltage mode-1 150 mv c pad capacitance connected on input and output pad on board, cl1=cl2 12 24 pf (1) vdds in this table stands for corresponding power supply (i.e. vdda_osc). for more information on the power supply name and the corresponding ball, see table 4-2 , power [11] column. 5.7.6 lvcmos csi2 dc electrical characteristics table 5-15 summarizes the dc electrical characteristics for lvsmos csi2 buffers. table 5-15. lvcmos csi2 dc electrical characteristics parameter min nom max unit signals muxmode 0: csi2_0_dx[4:0]; csi2_0_dy[4:0];
93 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-15. lvcmos csi2 dc electrical characteristics (continued) parameter min nom max unit bottom balls: a11 / b11 / a12 / b12 / a13 / b13 / a15 / b15 / a16 / b16 mipi d-phy mode low-power receiver (lp-rx) v ih input high-level voltage 880 1350 mv v il input low-level voltage 550 mv v ith input high-level threshold (1) 880 mv v itl input low-level threshold (2) 550 mv v hys input hysteresis (3) 25 mv mipi d-phy mode ultralow power receiver (ulp-rx) v il input low-level voltage 300 mv v itl input low-level threshold (4) 300 mv v hys input hysteresis (3) 25 mv mipi d-phy mode high-speed receiver (hs-rx) v idth differential input high-level threshold 70 mv v idtl differential input low-level threshold ? 70 mv v idmax maximum differential input voltage (7) 270 mv v ihhs single-ended input high voltage (5) 460 mv v ilhs single-ended input low voltage (5) ? 40 mv v cmrxdc differential input common-mode voltage (5) (6) 70 330 mv z id differential input impedance 80 100 125 (1) v ith is the voltage at which the receiver is required to detect a high state in the input signal. (2) v itl is the voltage at which the receiver is required to detect a low state in the input signal. v itl is larger than the maximum single-ended line high voltage during hs transmission. therefore, both low-power (lp) receivers will detect low during hs signaling. (3) to reduce noise sensitivity on the received signal, the lp receiver is required to incorporate a hysteresis, v hyst . v hyst is the difference between the v ith threshold and the v itl threshold. (4) v itl is the voltage at which the receiver is required to detect a low state in the input signal. specification is relaxed for detecting 0 during ultralow power (ulp) state. the lp receiver is not required to detect hs single-ended voltage as 0 in this state. (5) excluding possible additional rf interference of 200 mv pp beyond 450 mhz. (6) this value includes a ground difference of 50 mv between the transmitter and the receiver, the static common-mode level tolerance and variations below 450 mhz. (7) this number corresponds to the vod max transmitter. (8) common mode is defined as the average voltage level of x and y: v cmrx = (v x + v y ) / 2. (9) common mode ripple may be due to tr or tf and transmission line impairments in the pcb. 5.7.7 dual voltage lvcmos dc electrical characteristics table 5-16 summarizes the dc electrical characteristics for dual voltage lvcmos buffers. table 5-16. dual voltage lvcmos dc electrical characteristics parameter description min nom max unit 1.8-v mode v ih input high-level threshold 0.65 vdds v v il input low-level threshold 0.35 vdds v v hys input hysteresis voltage 100 mv v oh output high-level threshold (i oh = 2 ma) vdds-0.45 v v ol output low-level threshold (i ol = 2 ma) 0.45 v i drive pin drive strength at pad voltage = 0.45v or vdds- 0.45v 6 ma i in input current at each i/o pin 16 a
94 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-16. dual voltage lvcmos dc electrical characteristics (continued) parameter description min nom max unit i oz i oz (i pad current) at each io pin. pad is swept from 0 to vdds and the max(i(pad)) is measured and is reported as i oz 11.5 a i in with pulldown enabled input current at each i/o pin with weak pulldown enabled measured when pad = vdds 60 120 200 a i in with pullup enabled input current at each i/o pin with weak pullup enabled measured when pad = 0 60 120 210 a c pad pad capacitance (including package capacitance) 4 pf z o output impedance (drive strength) 40 3.3-v mode v ih input high-level threshold 2 v v il input low-level threshold 0.8 v v hys input hysteresis voltage 200 mv v oh output high-level threshold (i oh =100 a) vdds-0.2 v v ol output low-level threshold (i ol = 100 a) 0.2 v i drive pin drive strength at pad voltage = 0.45v or vdds- 0.45v 6 ma i in input current at each i/o pin 64 a i oz i oz (i pad current) at each io pin. pad is swept from 0 to vdds and the max(i(pad)) is measured and is reported as i oz 64 a i in with pulldown enabled input current at each i/o pin with weak pulldown enabled measured when pad = vdds 10 100 290 a i in with pullup enabled input current at each i/o pin with weak pullup enabled measured when pad = 0 40 100 200 a c pad pad capacitance (including package capacitance) 4 pf z o output impedance (drive strength) 40 (1) vdds in this table stands for corresponding power supply. for more information on the power supply name and the corresponding ball, see table 4-2 , power [11] column. 5.8 thermal characteristics for reliability and operability concerns, the maximum junction temperature of the device has to be at or below the t j value identified in table 5-4 , recommended operating conditions . a bci compact thermal model for this device is available and recommended for use when modeling thermal performance in a system. therefore, it is recommended to perform thermal simulations at the system level with the worst case device power consumption. 5.8.1 package thermal characteristics table 5-17 provides the thermal resistance characteristics for the package used on this device. note power dissipation of 4.14 w and an ambient temperature of 65 o c is assumed for abf package. table 5-17. thermal resistance characteristics no. paramet er description c/w (1) air flow (m/s) (2) t1 r jc junction-to-case 1.41 n/a
95 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-17. thermal resistance characteristics (continued) no. paramet er description c/w (1) air flow (m/s) (2) t2 r jb junction-to-board 5.96 n/a t3 r ja junction-to-free air 15.4 0 t4 junction-to-moving air 13.1 1 t5 12.2 2 t6 11.6 3 t7 jt junction-to-free air 0.94 0 t8 junction-to-package top 0.94 1 t9 0.94 2 t10 0.94 3 t11 jb junction-to-free air 5.12 0 t12 junction-to-board 4.78 1 t13 4.63 2 t14 4.52 3 (1) these values are based on a jedec defined 2s2p system (with the exception of the theta jc [r jc ] value, which is based on a jedec defined 1s0p system) and will change based on environment as well as application. for more information, see these eia/jedec standards: ? jesd51-2, integrated circuits thermal test method environment conditions - natural convection (still air) ? jesd51-3, low effective thermal conductivity test board for leaded surface mount packages ? jesd51-6, integrated circuit thermal test method environmental conditions - forced convection (moving air) ? jesd51-7, high effective thermal conductivity test board for leaded surface mount packages ? jesd51-9, test boards for area array surface mount packages (2) m/s = meters per second 5.9 analog-to-digital adc subsystem electrical specifications the analog-to-digital converter (adc) module is a successive-approximation-register (sar) generalpurpose analog-to-digital converter. the main features of the adc include: ? 10-bit data. ? 8 general-purpose adc channels. ? 750 ksps at 13.5-mhz adc_clk. ? programmable fsm sequencer. ? support interrupts and status, with masking table 5-18 summarizes the adc subsystem electrical specifications. table 5-18. adc electrical specifications parameter conditions min nom max unit analog input full-scale input range adc_vrefp v vref should be less than or equal to vdds_18v. 1.62 vdds_18v v differential non-linearity (dnl) -1 1 lsb integral non-linearity (inl) adc_vrefp = vdds_18v 2 lsb gain error adc_vrefp = vdds_18v 4 lsb offset error adc_vrefp = vdds_18v 3 lsb input sampling capacitance 3.2 5 pf input frequency adc_in[7:0] 0 30 khz signal-to-noise ratio (snr) input signal: 30 khz sine wave at -0.5 db full scale 50 db
96 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated table 5-18. adc electrical specifications (continued) parameter conditions min nom max unit total harmonic distortion (thd) 1.8 vpp, 30 khz sine wave 60 db spurious free dynamic range 1.8 vpp, 30 khz sine wave 60 db signal-to-noise plus distortion 1.8 vpp, 30 khz sine wave 50 db adc_vrefp input impedance 20 sampling dynamics time from start to start 17 clock cycles conversion time + error correction 10 + 1 clock cycles acquisition time 4 clock cycles throughput rate clk = 20 mhz (pin : clk) 1 msps channel to channel isolation 90 db adc clock frequency see table 5-5 mhz (1) connect adc_vrefp to vdda_adc when not using a positive external reference voltage. (2) this parameter is valid when the respective ain terminal is configured to operate as a general-purpose adc input. (3) the maximum sample rate assumes a conversion time of 13 adc clock cycles with the acquisition time configured for the minimum of 2 adc clock cycles, where it takes a total of 15 adc clock cycles to sample the analog input and convert it to a positive binary weighted digital value.
97 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated 5.10 power supply sequences this section describes the power-up and power-down sequence required to ensure proper device operation. figure 5-1 through figure 5-5 and associated notes describes the device recommended power sequencing. figure 5-1. power-up sequencing (1) grey shaded areas are windows where it is valid to ramp-up a voltage rail. (2) blue dashed lines are not valid windows but show alternate ramp-up possibilities based on whether i/o voltage levels are 1.8v or 3.3v (see associated note for more details). (3) vdds18v_* and vdda_* rails should not be combined for best performance to avoid transient switching noise impacts on analog domains. vdda_* should not ramp-up before vdds18v_* but could ramp concurrently if design ensures final operational voltage will not be reached until after vdds18v. the preferred sequence is to follow all vdds18v_* to ensure circuit components and pcb design do not cause an inadvertent violation. (4) vdds_ddr* should not ramp-up before vdds18v_*. the preferred sequence is to follow all vdds18v_* to ensure circuit components and pcb design do not cause an inadvertent violation. vdds_ddr* can ramp-up before, concurrently or after vdda_*, there are no dependencies between vdds_ddr* and vdda_* domains. ? vdds_ddr* supplies can be combined with vdds18v_* and vdds18v_ddr supplies for ddr2 mode of operation (1.8v) and ramped up together for simplified power sequencing. ? if vdds18v_ddr and vdds_ddr* are kept separate from vdds18v_* on board, then this combined ddr supply can come up together or after the vdds18v_* supply. the ddr supply in this case should never ramp up before the vdds18v_*. (5) vdd should not ramp-up before vdds18v_* or vdds_ddr* domains. (6) vdd_dspeve must not exceed vdd core supply and maintain at least 150mv lower voltage on vdd_dspeve vs vdd. vdd_dspeve could ramp concurrently with vdd if design ensures final operational voltage will not be reached until after vdd and maintains minimum of 150mv less than vdd during entire ramp time. the preferred sequence is to follow vdd to ensure circuit components and pcb design do not cause an inadvertent violation. vdds18v, vdds18v_ddr1, vdds18v_ddr2, vdds18v_ddr3 i/o buffer voltages vdda_adc, vdda_csi, vdda_dac, vdda_ddr_dsp, vdda_gmac_core, vdda_osc, vdda_per vdds_ddr1, vdds_ddr2, vdds_ddr3 vdd vdd_dspeve core avs voltage dspeve avs voltage vddshv1, vddshv2, vddshv3, vddshv4, vddshv5 (3) , vddshv6 pll and analog phy voltages emif voltages resetn, porz xi_osc0 valid config sysboot[15:0] rstoutn note 3 note 4 note 5 note 6 note 8 note 7 note 9 note 10 note 11 sprs916_elch_01
98 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated (7) if any of the vddshv[1-6] power rails are used for 1.8v i/o signaling, then these rails can be combined with vdds18v_*. if 3.3v i/o signaling is required, then these rails must be the last to ramp following vdd_dspeve. (8) resetn and porz must remain asserted low for a minimum of 12p (12) after xi_osc0 is stable at a valid frequency. (9) setup time: sysboot[15:0] pins must be valid 2p (12) before porz is de-asserted high. (10) hold time: sysboot[15:0] pins must be valid 15p (12) after porz is de-asserted high. (11) resetn to rstoutn delay is 2ms. (12) p = 1/(sys_clk1/610) frequency in ns. (13) ramped up is defined as reaching the minimum operational voltage level for the corresponding power domain. for information about voltage levels, refer to table 5-4 , recommended operating conditions . figure 5-2. recommended power-down sequencing (1) t1 100 s; t2 = 500 s; t3 = 1.0 ms; t4 = 1.5 ms; v1 = 2.7 v. all "tn" markers are intended to show total elapsed time, not interval times. (2) terminology: ? v opr min = minimum operational voltage level that ensures device functionality and specified performance in section 5.4 , recommended operating conditions . ? v off = off voltage level is defined to be less than 0.6 v where any current draw has no impact to poh. ? ramp down = transition time from v opr min to v off and is slew rate independent. (3) general timing diagram items: ? grey shaded areas show valid transition times for supplies between v opr min and v off . ? blue dashed lines are not valid windows but show alternate ramp possibilities based on the associated note. ? dashed vertical lines show approximate elapse times based upon ti recommended pmic power-down sequencer circuit performance. (4) porz must be asserted low for 100 s min to ensure soc is set to a safe functional state before any voltage begins to ramp down. (5) vddshv[1-6] domains supplied by 3.3 v: ? must remain greater than 2.7 v to enable dual voltage gpio selector circuit operation for 100 s min after porz is asserted low. ? must be in first group of supplies ramping down after porz has been asserted low for 100 s min. ? must not exceed vdds18v by more than 2 v during ramp down, see figure 5-3 , "vdds18v versus vddshv[1-6] discharge relationship". (6) vddshv[1-6] domains supplied by 1.8 v must ramp down concurrently with vdds18v and be sourced from common vdds18v supply. (7) vdd_dspeve domain can ramp down before or concurrently with vdd. (8) vdd must ramp down after or concurrently with vdd_dspeve. (9) vdds_ddr[1-3] domains: ? should ramp down after vdd begins ramping down. porz vdds18v_ddr1, vdds18v_ddr2, vdds18v_ddr3, vdds18v, vdda_osc, vdda_per, vdda_ddr_dsp, vdda_gmac_core, vdda_csi, vdda_dac, vdda_adc vdds_ddr1, vdds_ddr2, vdds_ddr3 vdd vdd_dspeve vddshv1, vddshv2, vddshv3, vddshv4, vddshv5, vddshv6 xi_osc0 sprs91 _elch_02 6 note 6 note 7 note 9 note 10 note 11 dspeve voltagecore voltage emif voltage v1 t0 t1 t2 t3 t4 note 4 note 5 note 8 note 12
99 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated ? if ddr2 memory is used (requiring 1.8v supply), ? then vdds_ddr[1-3] can be combined with vdds18v and vdds18v_ddr[1-3] domains and sourced from a common supply. accordingly, all domains can ramp down concurrently with vdds18v. ? if vdds_ddr[1-3] and vdds18v_ddr[1-3] are combined but kept separate from vdds18v, then the combined 1.8v ddr supply can ramp down before or concurrently with vdds18v. (10) vdda_* domains: ? can ramp down before, concurrently or after vdds_ddr[1-3], there is no dependency between these supplies. ? can ramp down before or concurrently with vdds18v. ? must satisfy the vdds18v versus vdda_* discharge relationship (see figure 5-5 ) if any of the vdda_* disable point is later or discharge rate is slower than vdds18v. (11) vdds18v domain: ? should maintain v opr min (v nom -5% = 1.71 v) until all other supplies start to ramp down. ? must satisfy the vdds18v versus vddshv[1-6] discharge relationship (see figure 5-3 ) if any of the vddshv[1-6] is operating at 3.3 v. ? must satisfy the vdds18v versus vdds_ddr[1-3] discharge relationship (see figure 5-4 ) if vdds_ddr[1-3] discharge rate is slower than vdds18v. figure 5-3 describes vddshv[1-6] supplies falling before vdds18v supplies delta. figure 5-3. vdds18v versus vddshv[1-6] discharge relationship (1) vdelta max = 2v vddshv1, vddshv2, vddshv3, vddshv4, vddshv5, vddshv6 vdds18v vdelta (note1) sprs916_elch_03
100 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated if vdds18v and vdds_ddr* are disabled at the same time due to a loss of input power event or if vdds_ddr* discharges more slowly than vdds18v, analysis has shown no reliability impacts when the elapsed time period beginning with vdds18v dropping below 1.0 v and ending with vdds_ddr* dropping below 0.6 v is less than 10 ms ( figure 5-4 ). figure 5-4. vdds18v and vdds_ddr* discharge relationship (1) (1) v1 > 1.0 v; v2 < 0.6 v; t1 < 10 ms. figure 5-5. vdds18v and vdda_* discharge relationship (3) (1) vdda_* can be vdds18v, until vdds18v drops below 1.62 v. (2) vdds18v must be vdda_*, until vdds18v reaches 0.6 v. (3) v1 = 1.62 v; v2 < 0.6 v. sprs916_elch_05 vdds18v v2 v1 note 1 note 2 vdda_* vdds18v vdds_ddr1, vdds_ddr2, vdds_ddr3 sprs916_elch_04 v1 v2 t1
101 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated figure 5-3 through figure 5-6 and associated notes described the device abrupt power down sequence. a ? loss of input power event ? occurs when the system ? s input power is unexpectedly removed. normally, the recommended power-down sequence should be followed and can be accomplished within 1.5-2 ms of elapsed time. this is the typical range of elapsed time available following a loss of power event, see section 8.3.7 , loss of input power event for design recommendations. if sufficient elapse time is not provided, then an ? abrupt ? power-down sequence can be supported without impacting poh reliability if all of the following conditions are met ( figure 5-6 ). figure 5-6. abrupt power-down sequencing (1) (1) v1 = 2.7 v; v2 = 3.3 v; v3 = 2.0 v; v4 = v5 = v6 = 0.6 v; v7 = v8 = 1.62 v; v9 = 1.3 v; v10 = 1.0 v; v11 = 0.0 v; t delta1 > 100 s; t delta2 < 10 ms. (2) terminology: ? v opr min = minimum operational voltage level that ensures device functionality and specified performance in section 5.4 , recommended operating conditions . ? v off = off voltage level is defined to be less than 0.6 v, where any current draw has no impact to poh. ? ramp down = transition time from v opr min to v off and is slew rate independent. (3) general timing diagram items: ? grey shaded areas show valid transition times for supplies between v opr min and v off . ? dashed vertical lines show approximate elapse times based upon ti recommended pmic power-down sequencer circuit performance. (4) porz must be asserted low for 100 s min to ensure soc is set to a safe functional state before any voltage begins to ramp down. (5) vddshv[1-6] domains supplied by 3.3 v: ? must remain greater than 2.7 v to enable dual voltage gpio selector circuit operation for 100 s min, after porz is asserted low. ? must not exceed vdds18v voltage level by more than 2v during ramp down, until vdds18v drops below v off (0.6 v). (6) vddshv[1-6] domains supplied by 1.8 v must ramp down concurrently with vdds18v and be sourced from common vdds18v supply. (7) vdd_dspeve, vdd, vdds_ddr[1-3], vdda_* domains can all start to ramp down in any order after 100 s low assertion of porz. (8) vdds_ddr* domains: ? can remain at v opr min or a level greater than vdds18v during ramp down. ? elapsed time from vdds18v dropping below 1.0 v to vdds_ddr[1-3] dropping below 0.6 v must not exceed 10 ms. (9) vdda_* domains: sprs916_elch_06 vdd, vdd_dspeve xi_osc0 porz v1 v3 v2 v7 v5 v10 v4 v11 v6 v9 v8 t delta2 vdds_ddr1, vdds_ddr2, vdds_dd3 t delta1 note 9 note 4 note 7note 7, note 8 note 6, note 10 vddshv1, vddshv2, vddshv3,vddshv4, vddshv5, vddshv6, vdds18v_ddr3, vdds18v vdds18v_ddr1, vdds18v_ddr2, vdda_osc, vdda_per, vdda_ddr_dsp, vdda_gmac_core, vdda_csi, vdda_dac, vdda_adc vddshv1, vddshv2, vddshv3,vddshv4, vddshv5, vddshv6 note 5
102 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 specifications copyright ? 2016 ? 2018, texas instruments incorporated ? can start to ramp down before or concurrently with vdds18v. ? must not exceed vdds18v voltage level after vdds18v drops below 1.62 v until vdds18v drops below v off (0.6 v). (10) vdds18v domain should maintain a minimum level of 1.62 v (v nom ? 10%) until vdd_dspeve and vdd start to ramp down.
103 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 clock specifications copyright ? 2016 ? 2018, texas instruments incorporated 6 clock specifications note for more information, see power, reset, and clock management / prcm subsystem environment / external clock signals and clock management functional description section of the device trm. note audio back end (abe) module is not supported for this family of devices, but ? abe ? name is still present in some clock or dpll names. the device operation requires the following clocks: ? the system clocks, sys_clk1(mandatory) and sys_clk2(optional) are the main clock sources of the device. they supply the reference clock to the dplls as well as functional clock to several modules. shows the external input clock sources and the output clocks to peripherals. figure 6-1. clock interface 6.1 input clock specifications device clkout0 to quartz (from oscillator output). resetn rstoutn external reference clock [0:2]. for audio and other peripherals xref_clk1 sysboot[15:0] from quartz (19.2, 20 or 27 mhz)or from cmos square clock source (19.2, 20 or 27mhz). boot mode configuration xi_osc1 warm reset output. device reset input. porz power on reset. xi_osc0 xo_osc0 xo_osc1 from quartz (range from mhz) or from cmos square clock source(range from mhz). 19.2 to 32 12 to 38.4 to quartz (from oscillator output). clkout1 clkout2 xref_clk0 output clkout[0:2] clocks come from: ? either the input system clock and alternate clock (xi_osc0 or xi_osc1) ? or a core clock (from core output) ? or a 192-mhz clock (from per dpll output). sprs91v_clk_01_sr2.0 xref_clk2
104 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 clock specifications copyright ? 2016 ? 2018, texas instruments incorporated 6.1.1 input clock requirements ? the source of the internal system clock (sys_clk1) could be either: ? a cmos clock that enters on the xi_osc0 ball (with xo_osc0 left unconnected on the cmos clock case). ? a crystal oscillator clock managed by xi_osc0 and xo_osc0. ? the source of the internal system clock (sys_clk2) could be either: ? a cmos clock that enters on the xi_osc1 ball (with xo_osc1 left unconnected on the cmos clock case). ? a crystal oscillator clock managed by xi_osc1 and xo_osc1. 6.1.2 system oscillator osc0 input clock sys_clk1 is received directly from oscillator osc0. for more information about sys_clk1 see device trm, chapter: power, reset, and clock management. 6.1.2.1 osc0 external crystal an external crystal is connected to the device pins. figure 6-2 describes the crystal implementation. figure 6-2. crystal implementation note the load capacitors, c f1 and c f2 in figure 6-2 , should be chosen such that the below equation is satisfied. c l in the equation is the load specified by the crystal manufacturer. all discrete components used to implement the oscillator circuit should be placed as close as possible to the associated oscillator xi_osc0, xo_osc0, and vssa_osc0 pins. figure 6-3. load capacitance equation the crystal must be in the fundamental mode of operation and parallel resonant. table 6-1 summarizes the required electrical constraints. table 6-1. osc0 crystal electrical characteristics name description min typ max unit f p parallel resonance crystal frequency 19.2, 20, 27 mhz c f1 c f1 load capacitance for crystal parallel resonance with c f1 = c f2 12 24 pf c l = c c f1 2f (c +c ) 2 f1 f vssa_osc0 device xo_osc0 xi_osc0 c f1 crystal rd c f2 (optional) sprs91v_clk_02
105 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 clock specifications copyright ? 2016 ? 2018, texas instruments incorporated table 6-1. osc0 crystal electrical characteristics (continued) name description min typ max unit c f2 c f2 load capacitance for crystal parallel resonance with c f1 = c f2 12 24 pf esr(c f1 ,c f2 ) crystal esr 100 c o crystal shunt capacitance esr = 30 esr = 40 19.2 mhz, 20 mhz, 27 mhz 7 pf esr = 50 19.2 mhz, 20 mhz 7 pf 27 mhz 5 pf esr = 60 19.2 mhz, 20 mhz 7 pf 27 mhz not supported - esr = 80 19.2 mhz, 20 mhz 5 pf 27 mhz not supported - esr = 100 19.2 mhz, 20 mhz 3 pf 27 mhz not supported - l m crystal motional inductance for f p = 20 mhz 10.16 mh c m crystal motional capacitance 3.42 ff t j(xiosc0) frequency accuracy (1) , xi_osc0 ethernet not used 200 ppm ethernet rgmii using derived clock 50 ppm (1) crystal characteristics should account for tolerance+stability+aging. when selecting a crystal, the system design must take into account the temperature and aging characteristics of a crystal versus the user environment and expected lifetime of the system. table 6-2 details the switching characteristics of the oscillator and the requirements of the input clock. table 6-2. oscillator switching characteristics ? crystal mode name description min typ max unit f p oscillation frequency 19.2, 20, 27 mhz mhz t sx start-up time 4 ms 6.1.2.2 osc0 input clock a 1.8-v lvcmos-compatible clock input can be used instead of the internal oscillator to provide the sys_clk1 clock input to the system. the external connections to support this are shown in figure 6-4 . the xi_osc0 pin is connected to the 1.8-v lvcmos-compatible clock source. the xi_osc0 pin is left unconnected. the vssa_osc0 pin is connected to board ground (vss). figure 6-4. 1.8-v lvcmos-compatible clock input vssa_osc0 device xo_osc0 xi_osc0 nc sprs91v_clk_03
106 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 clock specifications copyright ? 2016 ? 2018, texas instruments incorporated table 6-3 summarizes the osc0 input clock electrical characteristics. table 6-3. osc0 input clock electrical characteristics ? bypass mode name description min typ max unit f frequency 19.2, 20, 27 mhz c in input capacitance 2.184 2.384 2.584 pf i in input current (3.3v mode) 4 6 10 a table 6-4 details the osc0 input clock timing requirements. table 6-4. osc0 input clock timing requirements name description min typ max unit ck0 1 / t c(xiosc0) frequency, xi_osc0 19.2, 20, 27 mhz ck1 t w(xiosc0) pulse duration, xi_osc0 low or high 0.45 t c(xiosc0) 0.55 t c(xiosc0) ns t j(xiosc0) period jitter (1) , xi_osc0 0.01 t c(xiosc0) ns t r(xiosc0) rise time, xi_osc0 5 ns t f(xiosc0) fall time, xi_osc0 5 ns t j(xiosc0) frequency accuracy (2) , xi_osc0 ethernet not used 200 ppm ethernet rgmii using derived clock 50 ppm (1) period jitter is meant here as follows: ? the maximum value is the difference between the longest measured clock period and the expected clock period ? the minimum value is the difference between the shortest measured clock period and the expected clock period (2) crystal characteristics should account for tolerance+stability+aging. figure 6-5. xi_osc0 input clock 6.1.3 auxiliary oscillator osc1 input clock sys_clk2 is received directly from oscillator osc1. for more information about sys_clk2 see device trm, chapter: power, reset, and clock management. 6.1.3.1 osc1 external crystal an external crystal is connected to the device pins. figure 6-6 describes the crystal implementation. xi_osc0 ck0 ck1 ck1 sprs91v_clk_04
107 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 clock specifications copyright ? 2016 ? 2018, texas instruments incorporated figure 6-6. crystal implementation note the load capacitors, c f1 and c f2 in figure 6-6 , should be chosen such that the below equation is satisfied. c l in the equation is the load specified by the crystal manufacturer. all discrete components used to implement the oscillator circuit should be placed as close as possible to the associated oscillator xi_osc1, xo_osc1, and vssa_osc1 pins. figure 6-7. load capacitance equation the crystal must be in the fundamental mode of operation and parallel resonant. table 6-5 summarizes the required electrical constraints. table 6-5. osc1 crystal electrical characteristics name description min typ max unit f p parallel resonance crystal frequency range from 19.2 to 32 mhz c f1 c f1 load capacitance for crystal parallel resonance with c f1 = c f2 12 24 pf c f2 c f2 load capacitance for crystal parallel resonance with c f1 = c f2 12 24 pf esr(c f1 ,c f2 ) crystal esr 100 c o crystal shunt capacitance esr = 30 19.2 mhz f p 32 mhz 7 pf esr = 40 19.2 mhz f p 32 mhz 5 pf esr = 50 19.2 mhz f p 25 mhz 7 pf 25 mhz < f p 27 mhz 5 pf 27 mhz < f p 32 mhz not supported - esr = 60 19.2 mhz f p 23 mhz 7 pf 23 mhz < f p 25 mhz 5 pf 25 mhz < f p 32 mhz not supported - esr = 80 19.2 mhz f p 23 mhz 5 pf 23 mhz < f p 25 mhz 3 pf 25 mhz < f p 32 mhz not supported - esr = 100 19.2 mhz f p 20 mhz 3 pf 20 mhz < f p 32 mhz not supported - l m crystal motional inductance for f p = 20 mhz 10.16 mh c m crystal motional capacitance 3.42 ff c l = c c f1 2f (c +c ) 2 f1 f xi_osc1 vssa_osc1 device xo_osc1 c f1 crystal rd c f2 (optional) sprs91v_clk_05
108 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 clock specifications copyright ? 2016 ? 2018, texas instruments incorporated table 6-5. osc1 crystal electrical characteristics (continued) name description min typ max unit t j(xiosc0) frequency accuracy (1) , xi_osc1 ethernet not used 200 ppm ethernet rgmii using derived clock 50 ppm (1) crystal characteristics should account for tolerance+stability+aging. when selecting a crystal, the system design must take into account the temperature and aging characteristics of a crystal versus the user environment and expected lifetime of the system. table 6-6 details the switching characteristics of the oscillator and the requirements of the input clock. table 6-6. oscillator switching characteristics ? crystal mode name description min typ max unit f p oscillation frequency range from 19.2 to 32 mhz t sx start-up time 4 ms 6.1.3.2 osc1 input clock a 1.8-v lvcmos-compatible clock input can be used instead of the internal oscillator to provide the sys_clk2 clock input to the system. the external connections to support this are shown in, figure 6-8 . the xi_osc1 pin is connected to the 1.8-v lvcmos-compatible clock sources. the xo_osc1 pin is left unconnected. the vssa_osc1 pin is connected to board ground (vss). figure 6-8. 1.8-v lvcmos-compatible clock input table 6-7 summarizes the osc1 input clock electrical characteristics. table 6-7. osc1 input clock electrical characteristics ? bypass mode name description min typ max unit f frequency range from 12 to 38.4 mhz c in input capacitance 2.819 3.019 3.219 pf i in input current (3.3v mode) 4 6 10 a t sx start-up time (1) see (2) ms (1) to switch from bypass mode to crystal or from crystal mode to bypass mode, there is a waiting time about 100 s; however, if the chip comes from bypass mode to crystal mode the crystal will start-up after time mentioned in table 6-6 , t sx parameter. (2) before the processor boots up and the oscillator is set to bypass mode, there is a waiting time when the internal oscillator is in application mode and receives a wave. the switching time in this case is about 100 s. table 6-8 details the osc1 input clock timing requirements. vssa_osc1 device xo_osc1 xi_osc1 nc sprs91v_clk_06
109 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 clock specifications copyright ? 2016 ? 2018, texas instruments incorporated table 6-8. osc1 input clock timing requirements name description min typ max unit ck0 1 / t c(xiosc1) frequency, xi_osc1 range from 12 to 38.4 mhz ck1 t w(xiosc1) pulse duration, xi_osc1 low or high 0.45 t c(xiosc1) 0.55 t c(xiosc1) ns t j(xiosc1) period jitter (1) , xi_osc1 0.01 t c(xiosc1) (3) ns t r(xiosc1) rise time, xi_osc1 5 ns t f(xiosc1) fall time, xi_osc1 5 ns t j(xiosc1) frequency accuracy (2) , xi_osc1 ethernet not used 200 ppm ethernet rgmii using derived clock 50 ppm (1) period jitter is meant here as follows: ? the maximum value is the difference between the longest measured clock period and the expected clock period ? the minimum value is the difference between the shortest measured clock period and the expected clock period (2) crystal characteristics should account for tolerance+stability+aging. (3) the period jitter requirement for osc1 can be relaxed to 0.02*t c(xiosc1) under the following constraints: a. the osc1/sys_clk2 clock bypasses all device plls b. the osc1/sys_clk2 clock is only used to source the dss pixel clock outputs figure 6-9. xi_osc1 input clock 6.1.4 rc on-die oscillator clock rcosc_32k_clk is received directly through a network of resistor and capacitor (an rc network) inside of the soc. this rc oscillator do not have good frequency stability. the frequency range is described in table 6-9 , which depends on the temperature. for more information about rcosc_32k_clk see the device trm, chapter: power, reset, and clock management . table 6-9. rc on-die oscillator clock frequency range name description min typ max unit rcosc_32k_clk internal rc oscillator range from 28 to 42 khz 6.2 dplls, dlls specifications note for more information, see: ? power, reset, and clock management / clock management functional description / internal clock sources / generators / generic dpll overview section and ? display subsystem / display subsystem overview section of the device trm. to generate high-frequency clocks, the device supports multiple on-chip dplls controlled directly by the prcm module. ? they have their own independent power domain (each one embeds its own switch and can be controlled as an independent functional power domain) ? they are fed with always on system clock, with independent control per dpll. the different dplls managed by the prcm are listed below: xi_osc1 ck0 ck1 ck1 sprs91v_clk_07
110 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 clock specifications copyright ? 2016 ? 2018, texas instruments incorporated ? dpll_core: it supplies all interface clocks and also few module functional clocks. ? dpll_per: it supplies several clock sources: a 192-mhz clock for the display functional clock , a 96-mhz functional clock to subsystems and peripherals. ? dpll_gmac_dsp: it supplies rgmii, eve1 and dsp0 module functional clocks. ? dpll_eve_vid_dsp: it provides a few module functional clocks (eve_gfclk, vid_pix_clk and dsp1_clk). ? dpll_ddr: it generates clocks for the one external memory interface (emif) controller and its associated emif phys. note the following dplls are controlled by the clock manager located in the always-on core power domain (cm_core_aon): ? dpll_core, dpll_ddr, dpll_gmac_dsp, dpll_per, dpll_eve_vid_dsp. for more information on cm_core_aon and cm_core or prcm dplls, see the power, reset, and clock management (prcm) chapter of the device trm. 6.2.1 dpll characteristics the dpll has three relevant input clocks. one of them is the reference clock (clkinp) used to generated the synthesized clock but can also be used as the bypass clock whenever the dpll enters a bypass mode. it is therefore mandatory. the second one is a fast bypass clock (clkinpulow) used when selected as the bypass clock and is optional. the third clock (clkinphif) is explained in the next paragraph. the dpll has three output clocks (namely clkout, clkoutx2, and clkouthif). clkout and clkoutx2 run at the bypass frequency whenever the dpll enters a bypass mode. both of them are generated from the lock frequency divided by a post-divider (namely m2 post-divider). the third clock, clkouthif, has no automatic bypass capability. it is an output of a post-divider (m3 post-divider) with the input clock selectable between the internal lock clock (fdpll) and clkinphif input of the pll through an asynchronous multplexing. for more information, see the power, reset, and clock management chapter of the device trm. table 6-10 summarizes dpll type described in section 6.2 , dplls, dlls specifications . table 6-10. dpll control dpll name controlled by prcm dpll_core yes (1) dpll_eve_vid_dsp yes (1) dpll_gmac_dsp yes (1) dpll_per yes (1) dpll_ddr yes (1) (1) dpll is in the always-on domain. table 6-11 and summarize the dpll characteristics and assume testing over recommended operating conditions.
111 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 clock specifications copyright ? 2016 ? 2018, texas instruments incorporated table 6-11. dpll characteristics name description min typ max unit comments f input clkinp input frequency 0.032 52 mhz f inp f internal internal reference frequency 0.15 52 mhz refclk f clkinphif clkinphif input frequency 10 1400 mhz f inphif f clkinpulow clkinpulow input frequency 0.001 600 mhz bypass mode: f clkout = f clkinpulow / (m1 + 1) if ulowclken = 1 (6) f clkout clkout output frequency 20 (1) 1800 (2) mhz [m / (n + 1)] f inp [1 / m2] (in locked condition) f clkoutx2 clkoutx2 output frequency 40 (1) 2200 (2) mhz 2 [m / (n + 1)] f inp [1 / m2] (in locked condition) f clkouthif clkouthif output frequency 20 (3) 1400 (4) mhz f inphif / m3 if clkinphifsel = 1 40 (3) 2200 (4) mhz 2 [m / (n + 1)] f inp [1 / m3] if clkinphifsel = 0 f clkdcoldo dcoclkldo output frequency 40 2800 mhz 2 [m / (n + 1)] f inp (in locked condition) t lock frequency lock time 6 + 350 refclk s p lock phase lock time 6 + 500 refclk s t relock-l relock time ? frequency lock (5) (lp relock time from bypass) 6 + 70 refclk s dpll in lp relock time: lowcurrstdby = 1 p relock-l relock time ? phase lock (5) (lp relock time from bypass) 6 + 120 refclk s dpll in lp relock time: lowcurrstdby = 1 t relock-f relock time ? frequency lock (5) (fast relock time from bypass) 3.55 + 70 refclk s dpll in fast relock time: lowcurrstdby = 0 p relock-f relock time ? phase lock (5) (fast relock time from bypass) 3.55 + 120 refclk s dpll in fast relock time: lowcurrstdby = 0 (1) the minimum frequencies on clkout and clkoutx2 are assuming m2 = 1. for m2 > 1, the minimum frequency on these clocks will further scale down by factor of m2. (2) the maximum frequencies on clkout and clkoutx2 are assuming m2 = 1. (3) the minimum frequency on clkouthif is assuming m3 = 1. for m3 > 1, the minimum frequency on this clock will further scale down by factor of m3. (4) the maximum frequency on clkouthif is assuming m3 = 1. (5) relock time assumes typical operating conditions, 10 c maximum temperature drift. (6) bypass mode: f clkout = f inp if ulowclken = 0. for more information, see the device trm.
112 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 clock specifications copyright ? 2016 ? 2018, texas instruments incorporated 6.2.2 dll characteristics table 6-12 summarizes the dll characteristics and assumes testing over recommended operating conditions. table 6-12. dll characteristics name description min typ max unit f input input clock frequency (emif_dll_fclk) 266 mhz t lock lock time 50k cycles t relock relock time (a change of the dll frequency implies that dll must relock) 50k cycles 6.2.2.1 dpll and dll noise isolation note for more information on dpll and dll decoupling capacitor requirements, see the external capacitors / voltage decoupling capacitors / i/o and analog voltage decoupling / vdda power domain section.
113 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated 7 timing requirements and switching characteristics 7.1 timing test conditions all timing requirements and switching characteristics are valid over the recommended operating conditions unless otherwise specified. 7.2 interface clock specifications 7.2.1 interface clock terminology the interface clock is used at the system level to sequence the data and/or to control transfers accordingly with the interface protocol. 7.2.2 interface clock frequency the two interface clock characteristics are: ? the maximum clock frequency ? the maximum operating frequency the interface clock frequency documented in this document is the maximum clock frequency, which corresponds to the maximum frequency programmable on this output clock. this frequency defines the maximum limit supported by the device ic and does not take into account any system consideration (pcb, peripherals). the system designer will have to consider these system considerations and the device ic timing characteristics as well to define properly the maximum operating frequency that corresponds to the maximum frequency supported to transfer the data on this interface. 7.3 timing parameters and information the timing parameter symbols used in the timing requirement and switching characteristic tables are created in accordance with jedec standard 100. to shorten the symbols, some of pin names and other related terminologies have been abbreviated as follows: table 7-1. timing parameters subscripts symbol parameter c cycle time (period) d delay time dis disable time en enable time h hold time su setup time start start bit t transition time v valid time w pulse duration (width) x unknown, changing, or don't care level f fall time h high l low r rise time v valid iv invalid
114 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-1. timing parameters (continued) subscripts symbol parameter ae active edge fe first edge le last edge z high impedance 7.3.1 parameter information figure 7-1. test load circuit for ac timing measurements the load capacitance value stated is only for characterization and measurement of ac timing signals. this load capacitance value does not indicate the maximum load the device is capable of driving. 7.3.1.1 1.8v and 3.3v signal transition levels all input and output timing parameters are referenced to v ref for both "0" and "1" logic levels. v ref = (vddi/o)/2. figure 7-2. input and output voltage reference levels for ac timing measurements all rise and fall transition timing parameters are referenced to v il max and v ih min for input clocks, v ol max and v oh min for output clocks. transmission line 4.0 pf 1.85 pf z0 = 50(see note) tester pin electronics data sheet timing reference point output under test note: the data sheet provides timing at the device pin. for output timing analysis, the tester pin electronics and its transmission line effects must be taken into account. a transmission line with a delay of 2 ns can be used to produce the desired transmission line effect. the transmission line is intended as a load only. it is not necessary to add or subtract the transmission line delay (2 ns) from the data sheet timings. input requirements in this data sheet are tested with an input slew rate of < 4 volts per nanosecond (4 v/ns) at the device pin. 42 3.5 nh device pin(see note) pm_tstcirc_prs403 v ref pm_io_volt_prs403
115 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-3. rise and fall transition time voltage reference levels 7.3.1.2 1.8v and 3.3v signal transition rates the default slewcontrol settings in each pad configuration register must be used to guaranteed timings, unless specific instructions otherwise are given in the individual timing sub-sections of the datasheet. all timings are tested with an input edge rate of 4 volts per nanosecond (4 v/ns). 7.3.1.3 timing parameters and board routing analysis the timing parameter values specified in this data manual do not include delays by board routes. as a good board design practice, such delays must always be taken into account. timing values may be adjusted by increasing/decreasing such delays. ti recommends utilizing the available i/o buffer information specification (ibis) models to analyze the timing characteristics correctly. to properly use ibis models to attain accurate timing analysis for a given system, see the using ibis models for timing analysis application report (literature number spra839 ). if needed, external logic hardware such as buffers may be used to compensate any timing differences. 7.4 recommended clock and control signal transition behavior all clocks and control signals must transition between v ih and v il (or between v il and v ih ) in a monotonic manner. monotonic transitions are more easily guaranteed with faster switching signals. slower input transitions are more susceptible to glitches due to noise and special care should be taken for slow input clocks. v = v max (or v max) ref il ol v = v min (or v min) ref ih oh pm_transvolt_prs403
116 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated 7.5 video input ports (vip) the device includes 1 video input ports (vip). table 7-2 , figure 7-4 and figure 7-5 present timings and switching characteristics of the vips table 7-2. timing requirements for vip (1) (2) no. parameter description min max unit v1 t c(clk) cycle time, vinx_clki (3) (5) 5.99 (1) ns v2 t w(clkh) pulse duration, vinx_clki high (3) (5) 0.45 p (2) ns v3 t w(clkl) pulse duration, vinx_clki low (3) (5) 0.45 p (2) ns v4 t su(ctl/data-clk) input setup time, control (vinx_dei, vinx_vsynci, vinx_fldi, vinx_hsynci) and data (vinx_dn) valid to vinx_clki transition (3) (4) (5) 2.52 ns v5 t h(clk-ctl/data) input hold time, control (vinx_dei, vinx_vsynci, vinx_fldi, vinx_hsynci) and data (vinx_dn) valid from vinx_clki transition (3) (4) (5) -0.05 ns (1) for maximum frequency of 165 mhz. (2) p = vinx_clki period. (3) x in vinx = 1a, 1b, 2a and 2b. (4) n in dn = 0 to 7 when x = 1b, 2b; n = 0 to 23 when x = 1a and 2a; (5) i in clki, dei, vsynci, hsynci and fldi = 0 or 1. figure 7-4. video input ports clock signal figure 7-5. video input ports timings caution the io timings provided in this section are only valid for vin1 and vin2 if signals within a single ioset are used. the iosets are defined in table 7-3 and table 7-4 . in table 7-3 and table 7-4 are presented the specific groupings of signals (ioset) for use with vin1a, vin1b, vin2a and vin2b. vinx_clki (positive-edge clocking) v4 vinx_d[23:0]/sig v5 vinx_clki (negative-edge clocking) sprs8xx_vip_02 vinx_clki v2 v1 v3 sprs91v_vip_01
117 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-3. vin1 iosets signals ioset1 ioset2 ioset3 ioset4 ball mux ball mux ball mux ball mux vin1a vin1a_clk0 f22 0 f22 0 f22 0 f22 0 vin1a_de0 f21 0 f21 0 f21 0 f19 2 vin1a_fld0 f20 0 vin1a_hsync0 f19 0 vin1a_vsync0 g19 0 g19 0 g19 0 g19 0 vin1a_d0 g18 0 g18 0 g18 0 g18 0 vin1a_d1 g21 0 g21 0 g21 0 g21 0 vin1a_d2 g22 0 g22 0 g22 0 g22 0 vin1a_d3 h18 0 h18 0 h18 0 h18 0 vin1a_d4 h20 0 h20 0 h20 0 h20 0 vin1a_d5 h19 0 h19 0 h19 0 h19 0 vin1a_d6 h22 0 h22 0 h22 0 h22 0 vin1a_d7 h21 0 h21 0 h21 0 h21 0 vin1a_d8 j17 0 j17 0 vin1a_d9 k22 0 k22 0 vin1a_d10 k21 0 k21 0 vin1a_d11 k18 0 k18 0 vin1a_d12 k17 0 ab17 2 vin1a_d13 k19 0 u17 2 vin1a_d14 k20 0 w17 2 vin1a_d15 l21 0 aa17 2 vin1b vin1b_clk1 f21 2 vin1b_hsync1 w7 7 vin1b_vsync1 w6 7 vin1b_d0 j17 2 vin1b_d1 k22 2 vin1b_d2 k21 2 vin1b_d3 k18 2 vin1b_d4 k17 2 vin1b_d5 k19 2 vin1b_d6 k20 2 vin1b_d7 l21 2 table 7-4. vin2 iosets signals ioset1 ioset2 ioset3 ball mux ball mux ball mux vin2a vin2a_clk0 l22 0 ab17 9 l22 0 vin2a_de0 m17 0 aa17 9 vin2a_fld0 m18 0 u16 9 vin2a_hsync0 w7 2 f14 9 vin2a_vsync0 w6 2 w6 2 c14 9 vin2a_d0 aa14 2 aa14 2 aa14 2 vin2a_d1 ab14 2 ab14 2 ab14 2
118 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-4. vin2 iosets (continued) signals ioset1 ioset2 ioset3 ball mux ball mux ball mux vin2a_d2 u13 2 u13 2 u13 2 vin2a_d3 v13 2 v13 2 v13 2 vin2a_d4 y13 2 y13 2 y13 2 vin2a_d5 w13 2 w13 2 w13 2 vin2a_d6 u11 2 u11 2 u11 2 vin2a_d7 v11 2 v11 2 v11 2 vin2a_d8 u9 2 vin2a_d9 w11 2 vin2a_d10 v9 2 vin2a_d11 w9 2 vin2a_d12 u8 2 vin2a_d13 w8 2 vin2a_d14 u7 2 vin2a_d15 v7 2 vin2b vin2b_clk1 f20 2 vin2b_hsync1 m17 2 vin2b_vsync1 m18 2 vin2b_d0 u9 5 vin2b_d1 w11 5 vin2b_d2 v9 5 vin2b_d3 w9 5 vin2b_d4 u8 5 vin2b_d5 w8 5 vin2b_d6 u7 5 vin2b_d7 v7 5 7.6 display subsystem ? video output ports display parallel interfaces(dpi) channels are available in dss named dpi video output 1. every vout interface consists of: ? 24-bit data bus (data[23:0]) ? horizontal synchronization signal (hsync) ? vertical synchronization signal (vsync) ? data enable (de) ? field id (fid) ? pixel clock (clk) note for more information, see the display subsystem section of the device trm.
119 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated caution all pads/balls configured as vouti_* signals must be programmed to use slow slew rate by setting the corresponding ctrl_core_pad_*[slewcontrol] register field to slow (0b1). table 7-5 and figure 7-6 assume testing over the recommended operating conditions and electrical characteristic conditions. table 7-5. dpi video output 1 switching characteristics (1) (2) no. parameter description mode min max unit d1 t c(clk) cycle time, output pixel clock vouti_clk 6.73 ns d2 t w(clkl) pulse duration, output pixel clock vouti_clk low p 0.5-1 ns d3 t w(clkh) pulse duration, output pixel clock vouti_clk high p 0.5-1 ns d5 t d(clk-ctlv) delay time, output pixel clock vouti_clk transition to output data vouti_d[23:0] valid dpi1 -1.33 1.01 ns d6 t d(clk-dv) delay time, output pixel clock vouti_clk transition to output control signals vouti_vsync, vouti_hsync, vouti_de, and vouti_fld valid dpi1 -1.33 1.01 ns (1) p = output vout1_clk period in ns. (2) all pads/balls configured as vouti_* signals must be programmed to use slow slew rate by setting the corresponding ctrl_core_pad_*[slewcontrol] register field to slow (0b1). (3) serdes transceivers may be sensitive to the jitter profile of vouti_clk. see application note sprac62 for additional guidance. figure 7-6. dpi video output (1) (2) (3) (1) the configuration of assertion of the data can be programmed on the falling or rising edge of the pixel clock. (2) the polarity and the pulse width of vout1_hsync and vout1_vsync are programmable, refer to the dss section of the device trm. (3) the vout1_clk frequency can be configured, refer to the dss section of the device trm. vouti_clk vouti_vsync vouti_hsync vouti_d[23:0] vouti_de vouti_fld data_1 data_2 data_n odd even d1 d2 d3 d6 d6 d5 d6 d6 swps049-018 d4 vouti_clk falling-edge clock reference rising-edge clock reference
120 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated 7.7 imaging subsystem (iss) note for more information, see the imaging subsystem chapter of the device trm. the imaging subsystem (iss) deals with the processing of the pixel data coming from an external image sensor or data from memory (image format encoding and decoding can be done to and from memory). with its subparts, such as interfaces and interconnects, image signal processor (isp), and still image coprocessor (simcop), the iss is a key component for the following use cases: ? rear view camera ? front view stereo camera ? surround view camera the iss is mainly composed of cal_a, cal_b, lvds-rx camera interfaces, a parallel interface (cpi), an isp, and a block-based imaging accelerator (simcop). ? the camera adapter layer (cal_a) supports mipi ? csi2 protocol with four data lanes. the cal_a is targeted as sensor capture interface and write dma, while cal_b is targeted as read dma engine and does not support sensor capture. ? the lvds receiver (lvds-rx) support sony / aptina / omnivision / panasonic / altasens serial interfaces. ? the parallel interface (cpi) supports up to 16 data lanes. all interfaces can use the image signal processor (isp), but not concurrently. when one interface uses the isp, the other must send data to memory. however, the isp can still be used to process this data in memory-to-memory. time multiplex processing is also possible. caution the io timings provided in this section are only valid if signals within a single ioset are used. the iosets are defined in table 7-6 . in table 7-6 are presented the specific groupings of signals (ioset) for use with iss. table 7-6. camera parallel interface (cpi) iosets signals ioset1 ioset2 ball mux ball mux cpi_pclk f22 1 f22 1 cpi_data0 f19 1 f19 1 cpi_data1 g19 1 g19 1 cpi_data2 g18 1 g18 1 cpi_data3 g21 1 g21 1 cpi_data4 g22 1 g22 1 cpi_data5 h18 1 h18 1 cpi_data6 h20 1 h20 1 cpi_data7 h19 1 h19 1 cpi_data8 h22 1 h22 1 cpi_data9 h21 1 h21 1 cpi_data10 j17 1 j17 1 cpi_data11 k22 1 k22 1 cpi_data12 k21 1 k21 1
121 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-6. camera parallel interface (cpi) iosets (continued) signals ioset1 ioset2 ball mux ball mux cpi_data13 k18 1 k18 1 cpi_data14 k17 1 k17 1 cpi_data15 l21 1 cpi_wen k19 1 k19 1 cpi_fid k20 1 k20 1 cpi_hsync f21 1 f21 1 cpi_vsync f20 1 f20 1 cam_nreset w6 1 cam_strobe b18 3 m17 1 cam_shutter c18 3 m18 1 for more information, please contact your local ti representative. 7.8 external memory interface (emif) the device has a dedicated interface to ddr3 and ddr3l sdram. it supports jedec standard compliant ddr3 and ddr3l sdram devices with the following features: ? 16-bit or 32-bit data path to external sdram memory ? memory device capacity: 128mb, 256mb, 512mb, 1gb, 2gb, 4gb and 8gb devices (single die only) ? one interface with associated ddr3/ddr3l phys note for more information, see the emif controller section of the device trm. 7.9 general-purpose memory controller (gpmc) the gpmc is the unified memory controller that interfaces external memory devices such as: ? asynchronous sram-like memories and asic devices ? asynchronous page mode and synchronous burst nor flash ? nand flash note for more information, see the general-purpose memory controller section of the device trm. 7.9.1 gpmc/nor flash interface synchronous timing table 7-7 through table 7-10 assume testing over the recommended operating conditions and electrical characteristic conditions below (see figure 7-7 through figure 7-12 ). table 7-7. gpmc/nor flash interface timing requirements - synchronous mode - 1 load no. parameter description min max unit f12 t su(dv-clkh) setup time, read gpmc_ad[15:0] valid before gpmc_clk high 1.9 ns f13 t h(clkh-dv) hold time, read gpmc_ad[15:0] valid after gpmc_clk high 1 ns f21 t su(waitv-clkh) setup time, gpmc_wait[1:0] valid before gpmc_clk high 1.9 ns f22 t h(clkh-waitv) hold time, gpmc_wait[1:0] valid after gpmc_clk high 1 ns
122 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated note wait monitoring support is limited to a waitmonitoringtime value > 0. for a full description of wait monitoring feature, see the device trm. table 7-8. gpmc/nor flash interface switching characteristics - synchronous mode - 1 load no. parameter description min max unit f0 t c(clk) cycle time, output clock gpmc_clk period (12) 11.3 ns f2 t d(clkh-ncsv) delay time, gpmc_clk rising edge to gpmc_cs[7:0] transition (14) f-0.8 f+3.1 ns f3 t d(clkh-ncsiv) delay time, gpmc_clk rising edge to gpmc_cs[7:0] invalid (14) e-0.8 e+3.1 ns f4 t d(addv-clk) delay time, gpmc_a[27:0] address bus valid to gpmc_clk first edge b-0.8 b+3.1 ns f5 t d(clkh-addiv) delay time, gpmc_clk rising edge to gpmc_a[27:0] gpmc address bus invalid -0.8 ns f6 t d(nbev-clk) delay time, gpmc_ben[1:0] valid to gpmc_clk rising edge b-3.8 b+1.1 ns f7 t d(clkh-nbeiv) delay time, gpmc_clk rising edge to gpmc_ben[1:0] invalid d-0.4 d+1.1 ns f8 t d(clkh-nadv) delay time, gpmc_clk rising edge to gpmc_advn_ale transition (14) g-0.8 g+3.1 ns f9 t d(clkh-nadviv) delay time, gpmc_clk rising edge to gpmc_advn_ale invalid (14) d-0.8 d+3.1 ns f10 t d(clkh-noe) delay time, gpmc_clk rising edge to gpmc_oen_ren transition (14) h-0.8 h+2.1 ns f11 t d(clkh-noeiv) delay time, gpmc_clk rising edge to gpmc_oen_ren invalid (14) e-0.8 e+2.1 ns f14 t d(clkh-nwe) delay time, gpmc_clk rising edge to gpmc_wen transition (14) i-0.8 i+3.1 ns f15 t d(clkh-data) delay time, gpmc_clk rising edge to gpmc_ad[15:0] data bus transition j-1.1 j+3.92 ns f17 t d(clkh-nbe) delay time, gpmc_clk rising edge to gpmc_ben[1:0] transition j-1.1 j+3.8 ns f18 t w(ncsv) pulse duration, gpmc_cs[7:0] low a ns f19 t w(nbev) pulse duration, gpmc_ben[1:0] low c ns f20 t w(nadvv) pulse duration, gpmc_advn_ale low k ns f23 t d(clk-gpio) delay time, gpmc_clk transition to gpio6_16.clkout0 transition (13) 1.2 6.1 ns table 7-9. gpmc/nor flash interface timing requirements - synchronous mode - 5 loads no. parameter description min max unit f12 t su(dv-clkh) setup time, read gpmc_ad[15:0] valid before gpmc_clk high 2.5 ns f13 t h(clkh-dv) hold time, read gpmc_ad[15:0] valid after gpmc_clk high 1.9 ns f21 t su(waitv-clkh) setup time, gpmc_wait[1:0] valid before gpmc_clk high 2.5 ns f22 t h(clkh-waitv) hold time, gpmc_wait[1:0] valid after gpmc_clk high 1.9 ns table 7-10. gpmc/nor flash interface switching characteristics - synchronous mode - 5 loads no. parameter description min max unit f0 t c(clk) cycle time, output clock gpmc_clk period (12) 15.04 ns f2 t d(clkh-ncsv) delay time, gpmc_clk rising edge to gpmc_cs[7:0] transition (14) f+0.7 (6) f+6.1 (6) ns f3 t d(clkh-ncsiv) delay time, gpmc_clk rising edge to gpmc_cs[7:0] invalid (14) e+0.7 (5) e+6.1 (5) ns f4 t d(addv-clk) delay time, gpmc_a[27:0] address bus valid to gpmc_clk first edge b+0.7 (2) b+6.1 (2) ns f5 t d(clkh-addiv) delay time, gpmc_clk rising edge to gpmc_a[27:0] gpmc address bus invalid 0.7 ns f6 t d(nbev-clk) delay time, gpmc_ben[1:0] valid to gpmc_clk rising edge b-4.9 b+0.4 ns f7 t d(clkh-nbeiv) delay time, gpmc_clk rising edge to gpmc_ben[1:0] invalid d-0.4 d+4.9 ns f8 t d(clkh-nadv) delay time, gpmc_clk rising edge to gpmc_advn_ale transition (14) g+0.7 (7) g+6.1 (7) ns f9 t d(clkh-nadviv) delay time, gpmc_clk rising edge to gpmc_advn_ale invalid (14) d+0.7 (4) d+6.1 (4) ns
123 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-10. gpmc/nor flash interface switching characteristics - synchronous mode - 5 loads (continued) no. parameter description min max unit f10 t d(clkh-noe) delay time, gpmc_clk rising edge to gpmc_oen_ren transition (14) h+0.7 (8) h+5.1 (8) ns f11 t d(clkh-noeiv) delay time, gpmc_clk rising edge to gpmc_oen_ren invalid (14) e+0.7 (5) e+5.1 (5) ns f14 t d(clkh-nwe) delay time, gpmc_clk rising edge to gpmc_wen transition (14) i+0.7 (9) i+6.1 (9) ns f15 t d(clkh-data) delay time, gpmc_clk rising edge to gpmc_ad[15:0] data bus transition j-0.4 (10) j+4.9 (10) ns f17 t d(clkh-nbe) delay time, gpmc_clk rising edge to gpmc_ben[1:0] transition j-0.4 (10) j+4.9 (10) ns f18 t w(ncsv) pulse duration, gpmc_cs[7:0] low a (1) ns f19 t w(nbev) pulse duration, gpmc_ben[1:0] low c (3) ns f20 t w(nadvv) pulse duration, gpmc_advn_ale low k (11) ns f23 t d(clk-gpio) delay time, gpmc_clk transition to gpio6_16.clkout0 transition (13) 1.2 6.1 ns (1) for single read: a = (csrdofftime - csontime) (timeparagranularity + 1) gpmc_fclk period for burst read: a = (csrdofftime - csontime + (n - 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk period for burst write: a = (cswrofftime - csontime + (n - 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk period with n the page burst access number. (2) b = clkactivationtime gpmc_fclk (3) for single read: c = rdcycletime (timeparagranularity + 1) gpmc_fclk for burst read: c = (rdcycletime + (n ? 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk for burst write: c = (wrcycletime + (n ? 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk with n the page burst access number. (4) for single read: d = (rdcycletime ? accesstime) (timeparagranularity + 1) gpmc_fclk for burst read: d = (rdcycletime ? accesstime) (timeparagranularity + 1) gpmc_fclk for burst write: d = (wrcycletime ? accesstime) (timeparagranularity + 1) gpmc_fclk (5) for single read: e = (csrdofftime ? accesstime) (timeparagranularity + 1) gpmc_fclk for burst read: e = (csrdofftime ? accesstime) (timeparagranularity + 1) gpmc_fclk for burst write: e = (cswrofftime ? accesstime) (timeparagranularity + 1) gpmc_fclk (6) for ncs falling edge (cs activated): case gpmcfclkdivider = 0 : f = 0.5 csextradelay gpmc_fclk case gpmcfclkdivider = 1: f = 0.5 csextradelay gpmc_fclk if (clkactivationtime and csontime are odd) or (clkactivationtime and csontime are even) f = (1 + 0.5 csextradelay) gpmc_fclk otherwise case gpmcfclkdivider = 2: f = 0.5 csextradelay gpmc_fclk if ((csontime ? clkactivationtime) is a multiple of 3) f = (1 + 0.5 csextradelay) gpmc_fclk if ((csontime ? clkactivationtime ? 1) is a multiple of 3) f = (2 + 0.5 csextradelay) gpmc_fclk if ((csontime ? clkactivationtime ? 2) is a multiple of 3) case gpmcfclkdivider = 3: f = 0.5 csextradelay gpmc_fclk if ((csontime - clkactivationtime) is a multiple of 4) f = (1 + 0.5 csextradelay) gpmc_fclk if ((csontime - clkactivationtime - 1) is a multiple of 4) f = (2 + 0.5 csextradelay) gpmc_fclk if ((csontime - clkactivationtime - 2) is a multiple of 4) f = (3 + 0.5 csextradelay) gpmc_fclk if ((csontime - clkactivationtime - 3) is a multiple of 4) (7) for adv falling edge (adv activated): case gpmcfclkdivider = 0 : g = 0.5 advextradelay gpmc_fclk case gpmcfclkdivider = 1: g = 0.5 advextradelay gpmc_fclk if (clkactivationtime and advontime are odd) or (clkactivationtime and advontime are even) g = (1 + 0.5 advextradelay) gpmc_fclk otherwise case gpmcfclkdivider = 2: g = 0.5 advextradelay gpmc_fclk if ((advontime ? clkactivationtime) is a multiple of 3) g = (1 + 0.5 advextradelay) gpmc_fclk if ((advontime ? clkactivationtime ? 1) is a multiple of 3) g = (2 + 0.5 advextradelay) gpmc_fclk if ((advontime ? clkactivationtime ? 2) is a multiple of 3) for adv rising edge (adv deactivated) in reading mode: case gpmcfclkdivider = 0: g = 0.5 advextradelay gpmc_fclk case gpmcfclkdivider = 1: g = 0.5 advextradelay gpmc_fclk if (clkactivationtime and advrdofftime are odd) or (clkactivationtime and advrdofftime are even) g = (1 + 0.5 advextradelay) gpmc_fclk otherwise case gpmcfclkdivider = 2: g = 0.5 advextradelay gpmc_fclk if ((advrdofftime ? clkactivationtime) is a multiple of 3)
124 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated g = (1 + 0.5 advextradelay) gpmc_fclk if ((advrdofftime ? clkactivationtime ? 1) is a multiple of 3) g = (2 + 0.5 advextradelay) gpmc_fclk if ((advrdofftime ? clkactivationtime ? 2) is a multiple of 3) case gpmcfclkdivider = 3: g = 0.5 advextradelay gpmc_fclk if ((advrdofftime ? clkactivationtime) is a multiple of 4) g = (1 + 0.5 advextradelay) gpmc_fclk if ((advrdofftime ? clkactivationtime ? 1) is a multiple of 4) g = (2 + 0.5 advextradelay) gpmc_fclk if ((advrdofftime ? clkactivationtime ? 2) is a multiple of 4) g = (3 + 0.5 advextradelay) gpmc_fclk if ((advrdofftime ? clkactivationtime ? 3) is a multiple of 4) for adv rising edge (adv deactivated) in writing mode: case gpmcfclkdivider = 0: g = 0.5 advextradelay gpmc_fclk case gpmcfclkdivider = 1: g = 0.5 advextradelay gpmc_fclk if (clkactivationtime and advwrofftime are odd) or (clkactivationtime and advwrofftime are even) g = (1 + 0.5 advextradelay) gpmc_fclk otherwise case gpmcfclkdivider = 2: g = 0.5 advextradelay gpmc_fclk if ((advwrofftime ? clkactivationtime) is a multiple of 3) g = (1 + 0.5 advextradelay) gpmc_fclk if ((advwrofftime ? clkactivationtime ? 1) is a multiple of 3) g = (2 + 0.5 advextradelay) gpmc_fclk if ((advwrofftime ? clkactivationtime ? 2) is a multiple of 3) case gpmcfclkdivider = 3: g = 0.5 advextradelay gpmc_fclk if ((advwrofftime ? clkactivationtime) is a multiple of 4) g = (1 + 0.5 advextradelay) gpmc_fclk if ((advwrofftime ? clkactivationtime ? 1) is a multiple of 4) g = (2 + 0.5 advextradelay) gpmc_fclk if ((advwrofftime ? clkactivationtime ? 2) is a multiple of 4) g = (3 + 0.5 advextradelay) gpmc_fclk if ((advwrofftime ? clkactivationtime ? 3) is a multiple of 4) (8) for oe falling edge (oe activated): case gpmcfclkdivider = 0: - h = 0.5 oeextradelay gpmc_fclk case gpmcfclkdivider = 1: - h = 0.5 oeextradelay gpmc_fclk if (clkactivationtime and oeontime are odd) or (clkactivationtime and oeontime are even) - h = (1 + 0.5 oeextradelay) gpmc_fclk otherwise case gpmcfclkdivider = 2: - h = 0.5 oeextradelay gpmc_fclk if ((oeontime ? clkactivationtime) is a multiple of 3) - h = (1 + 0.5 oeextradelay) gpmc_fclk if ((oeontime ? clkactivationtime ? 1) is a multiple of 3) - h = (2 + 0.5 oeextradelay) gpmc_fclk if ((oeontime ? clkactivationtime ? 2) is a multiple of 3) case gpmcfclkdivider = 3: - h = 0.5 oeextradelay gpmc_fclk if ((oeontime - clkactivationtime) is a multiple of 4) - h = (1 + 0.5 oeextradelay) gpmc_fclk if ((oeontime - clkactivationtime - 1) is a multiple of 4) - h = (2 + 0.5 oeextradelay) gpmc_fclk if ((oeontime - clkactivationtime - 2) is a multiple of 4) - h = (3 + 0.5 oeextradelay)) gpmc_fclk if ((oeontime - clkactivationtime - 3) is a multiple of 4) for oe rising edge (oe deactivated): case gpmcfclkdivider = 0: - h = 0.5 oeextradelay gpmc_fclk case gpmcfclkdivider = 1: - h = 0.5 oeextradelay gpmc_fclk if (clkactivationtime and oeofftime are odd) or (clkactivationtime and oeofftime are even) - h = (1 + 0.5 oeextradelay) gpmc_fclk otherwise case gpmcfclkdivider = 2: - h = 0.5 oeextradelay gpmc_fclk if ((oeofftime ? clkactivationtime) is a multiple of 3) - h = (1 + 0.5 oeextradelay) gpmc_fclk if ((oeofftime ? clkactivationtime ? 1) is a multiple of 3) - h = (2 + 0.5 oeextradelay) gpmc_fclk if ((oeofftime ? clkactivationtime ? 2) is a multiple of 3) case gpmcfclkdivider = 3: - h = 0.5 oeextradelay gpmc_fclk if ((oeofftime ? clkactivationtime) is a multiple of 4) - h = (1 + 0.5 oeextradelay) gpmc_fclk if ((oeofftime ? clkactivationtime ? 1) is a multiple of 4) - h = (2 + 0.5 oeextradelay) gpmc_fclk if ((oeofftime ? clkactivationtime ? 2) is a multiple of 4) - h = (3 + 0.5 oeextradelay) gpmc_fclk if ((oeofftime ? clkactivationtime ? 3) is a multiple of 4) (9) for we falling edge (we activated): case gpmcfclkdivider = 0: - i = 0.5 weextradelay gpmc_fclk case gpmcfclkdivider = 1: - i = 0.5 weextradelay gpmc_fclk if (clkactivationtime and weontime are odd) or (clkactivationtime and weontime are even) - i = (1 + 0.5 weextradelay) gpmc_fclk otherwise case gpmcfclkdivider = 2: - i = 0.5 weextradelay gpmc_fclk if ((weontime ? clkactivationtime) is a multiple of 3) - i = (1 + 0.5 weextradelay) gpmc_fclk if ((weontime ? clkactivationtime ? 1) is a multiple of 3) - i = (2 + 0.5 weextradelay) gpmc_fclk if ((weontime ? clkactivationtime ? 2) is a multiple of 3) case gpmcfclkdivider = 3: - i = 0.5 weextradelay gpmc_fclk if ((weontime - clkactivationtime) is a multiple of 4) - i = (1 + 0.5 weextradelay) gpmc_fclk if ((weontime - clkactivationtime - 1) is a multiple of 4) - i = (2 + 0.5 weextradelay) gpmc_fclk if ((weontime - clkactivationtime - 2) is a multiple of 4) - i = (3 + 0.5 weextradelay) gpmc_fclk if ((weontime - clkactivationtime - 3) is a multiple of 4) for we rising edge (we deactivated):
125 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated case gpmcfclkdivider = 0: - i = 0.5 weextradelay gpmc_fclk case gpmcfclkdivider = 1: - i = 0.5 weextradelay gpmc_fclk if (clkactivationtime and weofftime are odd) or (clkactivationtime and weofftime are even) - i = (1 + 0.5 weextradelay) gpmc_fclk otherwise case gpmcfclkdivider = 2: - i = 0.5 weextradelay gpmc_fclk if ((weofftime ? clkactivationtime) is a multiple of 3) - i = (1 + 0.5 weextradelay) gpmc_fclk if ((weofftime ? clkactivationtime ? 1) is a multiple of 3) - i = (2 + 0.5 weextradelay) gpmc_fclk if ((weofftime ? clkactivationtime ? 2) is a multiple of 3) case gpmcfclkdivider = 3: - i = 0.5 weextradelay gpmc_fclk if ((weofftime - clkactivationtime) is a multiple of 4) - i = (1 + 0.5 weextradelay) gpmc_fclk if ((weofftime - clkactivationtime - 1) is a multiple of 4) - i = (2 + 0.5 weextradelay) gpmc_fclk if ((weofftime - clkactivationtime - 2) is a multiple of 4) - i = (3 + 0.5 weextradelay) gpmc_fclk if ((weofftime - clkactivationtime - 3) is a multiple of 4) (10) j = gpmc_fclk period, where gpmc_fclk is the general purpose memory controller internal functional clock (11) for read: k = (advrdofftime ? advontime) (timeparagranularity + 1) gpmc_fclk for write: k = (advwrofftime ? advontime) (timeparagranularity + 1) gpmc_fclk (12) the gpmc_clk output clock maximum and minimum frequency is programmable in the i/f module by setting the gpmc_config1_csx configuration register bit fields gpmcfclkdivider (13) gpio6_16 programmed to muxmode=9 (clkout1), cm_clksel_clkoutmux1 programmed to 7 (core_dpll_out_dclk), cm_clksel_core_dpll_out_clk_clkoutmux programmed to 1. (14) csextradelay = 0, advextradelay = 0, weextradelay = 0, oeextradelay = 0. extra half-gpmc_fclk cycle delay mode is not timed.
126 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-7. gpmc / multiplexed 16bits nor flash - synchronous single read - (gpmcfclkdivider = 0) (1) (2) (1) in gpmc_cs i , i = 0 to 7. (2) in gpmc_waitj, j = 0 to 1. gpmc_clk gpmc_cs i gpmc_a[ :1] 1027 gpmc_a[ ] gpmc_be 1n gpmc_ben0 gpmc_adv _ale n gpmc_oen_ren gpmc_ad[15:0] gpmc_wait j address (msb) address (lsb) d 0 f0 f12 f13 f6 f2 f8 f3 f7 f9 f11 f1 f1 f8 f19 f18 f20 f10 f4 f5 f6 f7 f19 f4 f22 f21 f23 gpmc_01 gpio6_16.clkout0 f23
127 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-8. gpmc / non-multiplexed 16bits nor flash - synchronous single read - (gpmcfclkdivider = 0) (1) (2) (1) in gpmc_cs i , i = 0 to 7. (2) in gpmc_waitj, j = 0 to 1. gpmc_clk gpmc_cs i gpmc_a[2 :1]7 gpmc_be 1n gpmc_ben0 gpmc_ _ale advn gpmc_oen_ren gpmc_ad[15:0] gpmc_wait j address d 0 f0 f12 f13 f6 f2 f8 f3 f7 f9 f11 f1 f1 f8 f19 f18 f20 f10 f6 f7 f19 f4 f22 f21 f23 gpmc_02 gpio6_16.clkout0 f23
128 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-9. gpmc / multiplexed 16bits nor flash - synchronous burst read 4x16 bits - (gpmcfclkdivider = 0) (1) (2) (1) in gpmc_cs i , i= 0 to 7. (2) in gpmc_waitj, j = 0 to 1. gpmc_clk gpmc_cs i gpmc_a[ :1] 1027 gpmc_a[ ] gpmc_ 1 ben gpmc_ben0 gpmc_ _ale advn gpmc_oen_ren gpmc_ad[15:0] gpmc_wait j address (msb) valid valid address (lsb) d0 d1 d2 d3 f6 f4 f2 f8 f8 f10 f13 f12 f12 f11 f9 f7 f3 f0 f1 f1 f5 f6 f7 f22 f21 f4 f18 f20 f19 f19 f23 gpmc_03 gpio6_16.clkout0 f23
129 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-10. gpmc / non-multiplexed 16bits nor flash - synchronous burst read 4x16 bits - (gpmcfclkdivider = 0) (1) (2) (1) in gpmc_cs i , i = 0 to 7. (2) in gpmc_waitj, j = 0 to 1. gpmc_clk gpmc_cs i gpmc_a[2 :1]7 gpmc_be 1n gpmc_ben0 gpmc_ _ale advn gpmc_oen_ren gpmc_ad[15:0] gpmc_wait j address valid valid d0 d1 d2 d3 f6 f2 f8 f8 f10 f13 f12 f12 f11 f9 f7 f3 f0 f1 f1 f6 f7 f22 f21 f4 f18 f20 f19 f19 f23 gpmc_04 gpio6_16.clkout0 f23
130 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-11. gpmc / multiplexed 16bits nor flash - synchronous burst write 4x16bits - (gpmcfclkdivider = 0) (1) (2) (1) in ? gpmc_cs i ? , i = 0 to 7. (2) in ? gpmc_waitj ? , j = 0 to 1. gpmc_clk gpmc_cs i gpmc_a[ :1] 1027 gpmc_a[ ] gpmc_ 1 ben gpmc_ben0 gpmc_ _ale advn gpmc_wen gpmc_ad[15:0] gpmc_wait j address (msb) address (lsb) d 0 d 1 d 2 d 3 f4 f15 f15 f15 f1 f1 f2 f6 f8 f8 f0 f3 f17 f17 f17 f9 f6 f17 f17 f17 f18 f20 f14 f14 f22 f21 gpmc_05 gpio6_16.clkout0 f23 f23
131 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-12. gpmc / non-multiplexed 16bits nor flash - synchronous burst write 4x16bits - (gpmcfclkdivider = 0) (1) (2) (1) in ? gpmc_cs i ? , i = 1 to 7. (2) in ? gpmc_waitj ? , j = 0 to 1. 7.9.2 gpmc/nor flash interface asynchronous timing table 7-11 and table 7-12 assume testing over the recommended operating conditions and electrical characteristic conditions below (see figure 7-13 through figure 7-18 ). table 7-11. gpmc/nor flash interface timing requirements - asynchronous mode no. parameter description min max unit fa5 t acc(dat) data maximum access time (gpmc_fclk cycles) h (1) cycles fa20 t acc1-pgmode(dat) page mode successive data maximum access time (gpmc_fclk cycles) p (2) cycles fa21 t acc2-pgmode(dat) page mode first data maximum access time (gpmc_fclk cycles) h (1) cycles - t su(dv-oeh) setup time, read gpmc_ad[15:0] valid before gpmc_oen_ren high 1.9 ns - - t h(oeh-dv) hold time, read gpmc_ad[15:0] valid after gpmc_oen_ren high 1 ns gpmc_clk gpmc_cs i gpmc_a[2 :1]7 gpmc_ 1 ben gpmc_ben0 gpmc_ _ale advn gpmc_wen gpmc_ad[15:0] gpmc_wait j address d 0 d 1 d 2 d 3 f4 f15 f15 f15 f1 f1 f2 f6 f8 f8 f0 f3 f17 f17 f17 f9 f6 f17 f17 f17 f18 f20 f14 f14 f22 f21 gpmc_06 gpio6_16.clkout0 f23 f23
132 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated (1) h = access time (timeparagranularity + 1) (2) p = pageburstaccesstime (timeparagranularity + 1) table 7-12. gpmc/nor flash interface switching characteristics - asynchronous mode no. parameter description min max unit - t r(do) rising time, gpmc_ad[15:0] output data 0.447 4.067 ns - - t f(do) fallling time, gpmc_ad[15:0] output data 0.43 4.463 ns fa0 t w(nbev) pulse duration, gpmc_ben[1:0] valid time n ns fa1 t w(ncsv) pulse duration, gpmc_cs[7:0] low a ns fa3 t d(ncsv-nadviv) delay time, gpmc_cs[7:0] valid to gpmc_advn_ale invalid b - 0.2 b + 2.0 ns fa4 t d(ncsv-noeiv) delay time, gpmc_cs[7:0] valid to gpmc_oen_ren invalid (single read) c - 0.2 c + 2.0 ns fa9 t d(av-ncsv) delay time, address bus valid to gpmc_cs[7:0] valid j - 0.2 j + 2.0 ns fa10 t d(nbev-ncsv) delay time, gpmc_ben[1:0] valid to gpmc_cs[7:0] valid j - 0.2 j + 2.0 ns fa12 t d(ncsv-nadvv) delay time, gpmc_cs[7:0] valid to gpmc_advn_ale valid k - 0.2 k + 2.0 ns fa13 t d(ncsv-noev) delay time, gpmc_cs[7:0] valid to gpmc_oen_ren valid l - 0.2 l + 2.0 ns fa16 t w(aiv) pulse duration, address invalid between 2 successive r/w accesses g ns fa18 t d(ncsv-noeiv) delay time, gpmc_cs[7:0] valid to gpmc_oen_ren invalid (burst read) i - 0.2 i + 2.0 ns fa20 t w(av) pulse duration, address valid : 2nd, 3rd and 4th accesses d ns fa25 t d(ncsv-nwev) delay time, gpmc_cs[7:0] valid to gpmc_wen valid e - 2 e + 2.0 ns fa27 t d(ncsv-nweiv) delay time, gpmc_cs[7:0] valid to gpmc_wen invalid f - 0.2 f + 2.0 ns fa28 t d(nwev-dv) delay time, gpmc_ wen valid to data bus valid 2 ns fa29 t d(dv-ncsv) delay time, data bus valid to gpmc_cs[7:0] valid j - 0.2 j + 2.0 ns fa37 t d(noev-aiv) delay time, gpmc_oen_ren valid to gpmc_ad[15:0] multiplexed address bus phase end 2 ns (1) for single read: n = rdcycletime (timeparagranularity + 1) gpmc_fclk for single write: n = wrcycletime (timeparagranularity + 1) gpmc_fclk for burst read: n = (rdcycletime + (n ? 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk for burst write: n = (wrcycletime + (n ? 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk (2) for single read: a = (csrdofftime - csontime) (timeparagranularity + 1) gpmc_fclk for single write: a = (cswrofftime ? csontime) (timeparagranularity + 1) gpmc_fclk for burst read: a = (csrdofftime - csontime + (n - 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk period for burst write: a = (cswrofftime - csontime + (n - 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk period with n the page burst access number. (3) for reading: b = ((advrdofftime ? csontime) (timeparagranularity + 1) + 0.5 (advextradelay ? csextradelay)) gpmc_fclk for writing: b = ((advwrofftime ? csontime) (timeparagranularity + 1) + 0.5 (advextradelay ? csextradelay)) gpmc_fclk (4) c = ((oeofftime ? csontime) (timeparagranularity + 1) + 0.5 (oeextradelay ? csextradelay)) gpmc_fclkfor single read: c = rdcycletime (timeparagranularity + 1) gpmc_fclk (5) j = (csontime (timeparagranularity + 1) + 0.5 csextradelay) gpmc_fclk (6) k = ((advontime ? csontime) (timeparagranularity + 1) + 0.5 (advextradelay ? csextradelay)) gpmc_fclk (7) l = ((oeontime ? csontime) (timeparagranularity + 1) + 0.5 (oeextradelay ? csextradelay)) gpmc_fclk (8) g = cycle2cycledelay gpmc_fclk (timeparagranularity + 1) (9) i = ((oeofftime + (n ? 1) pageburstaccesstime ? csontime) (timeparagranularity + 1) + 0.5 (oeextradelay ? csextradelay)) gpmc_fclk (10) d = pageburstaccesstime (timeparagranularity + 1) gpmc_fclk (11) e = ((weontime ? csontime) (timeparagranularity + 1) + 0.5 (weextradelay ? csextradelay)) gpmc_fclk (12) f = ((weofftime ? csontime) (timeparagranularity + 1) + 0.5 (weextradelay ? csextradelay)) gpmc_fclk
133 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-13. gpmc / nor flash - asynchronous read - single word timing (1) (2) (3) (1) in gpmc_cs i , i = 0 to 7. in gpmc_waitj, j = 0 to 1. (2) fa5 parameter illustrates amount of time required to internally sample input data. it is expressed in number of gpmc functional clock cycles. from start of read cycle and after fa5 functional clock cycles, input data will be internally sampled by active functional clock edge. fa5 value must be stored inside accesstime register bits field. (3) gpmc_fclk is an internal clock (gpmc functional clock) not provided externally. (4) the "dir" (direction control) output signal is not pinned out on any of the device pads. it is an internal signal only representing a signal direction on the gpmc data bus. gpmc_fclk gpmc_clk gpmc_cs i gpmc_a[ :1] 27 gpmc_ben0 gpmc_be 1n gpmc_ _ale advn gpmc_oen_ren gpmc_ d[15:0] a gpmc_wait j dir valid address valid valid data in 0 data in 0 out out in out fa0 fa9 fa10 fa3 fa1 fa4 fa12 fa13 fa0 fa10 fa5 fa14 fa15 gpmc_07
134 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-14. gpmc / nor flash - asynchronous read - 32-bit timing (1) (2) (3) (1) in ? gpmc_cs i ? , i = 0 to 7. in ? gpmc_waitj ? , j = 0 to 1. (2) fa5 parameter illustrates amount of time required to internally sample input data. it is expressed in number of gpmc functional clock cycles. from start of read cycle and after fa5 functional clock cycles, input data will be internally sampled by active functional clock edge. fa5 value should be stored inside accesstime register bits field (3) gpmc_fclk is an internal clock (gpmc functional clock) not provided externally (4) the "dir" (direction control) output signal is not pinned out on any of the device pads. it is an internal signal only representing a signal direction on the gpmc data bus. gpmc_fclk gpmc_clk gpmc_cs i gpmc_a[ :1] 27 gpmc_ben0 gpmc_be 1n gpmc_ _ale advn gpmc_oen_ren gpmc_ d[15:0] a gpmc_wait j dir address 0 address 1 valid valid valid valid data upper out out in out in fa9 fa10 fa3 fa9 fa3 fa13 fa13 fa1 fa1 fa4 fa4 fa12 fa12 fa10 fa0 fa0 fa16 fa0 fa0 fa10 fa10 fa5 fa5 fa14 fa15 fa14 fa15 gpmc_08
135 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-15. gpmc / nor flash - asynchronous read - page mode 4x16-bit timing (1) (2) (3) (4) (1) in ? gpmc_cs i ? , i = 0 to 7. in ? gpmc_waitj ? , j = 0 to 1 (2) fa21 parameter illustrates amount of time required to internally sample first input page data. it is expressed in number of gpmc functional clock cycles. from start of read cycle and after fa21 functional clock cycles, first input page data will be internally sampled by active functional clock edge. fa21 calculation is detailled in a separated application note (ref ? ) and should be stored inside accesstime register bits field. (3) fa20 parameter illustrates amount of time required to internally sample successive input page data. it is expressed in number of gpmc functional clock cycles. after each access to input page data, next input page data will be internally sampled by active functional clock edge after fa20 functional clock cycles. fa20 is also the duration of address phases for successive input page data (excluding first input page data). fa20 value should be stored in pageburstaccesstime register bits field. (4) gpmc_fclk is an internal clock (gpmc functional clock) not provided externally (5) the "dir" (direction control) output signal is not pinned out on any of the device pads. it is an internal signal only representing a signal direction on the gpmc data bus. gpmc_fclk gpmc_clk gpmc_cs i gpmc_a[ :1] 27 gpmc_ben0 gpmc_be 1n gpmc_ _ale advn gpmc_oen_ren gpmc_ d[15:0] a gpmc_wait j dir add0 add1 add2 add3 add4 d0 d1 d2 d3 d3 out out in out fa1 fa0 fa18 fa13 fa12 fa0 fa9 fa10 fa10 fa21 fa20 fa20 fa20 fa14 fa15 sprs91v_gpmc_09
136 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-16. gpmc / nor flash - asynchronous write - single word timing (1) (1) in ? gpmc_cs i ? , i = 0 to 7. in ? gpmc_waitj ? , j = 0 to 1. (2) the "dir" (direction control) output signal is not pinned out on any of the device pads. it is an internal signal only representing a signal direction on the gpmc data bus. gpmc_fclk gpmc_clk gpmc_cs i gpmc_a[ :1] 27 gpmc_ben0 gpmc_be 1n gpmc_ _ale advn gpmc_wen gpmc_ d[15:0] a gpmc_wait j dir valid address data out out fa0 fa1 fa10 fa3 fa25 fa29 fa9 fa12 fa27 fa0 fa10 gpmc_10
137 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-17. gpmc / multiplexed nor flash - asynchronous read - single word timing (1) (2) (3) (1) in ? gpmc_cs i ? , i = 0 to 7. in ? gpmc_waitj ? , j = 0 to 1 (2) fa5 parameter illustrates amount of time required to internally sample input data. it is expressed in number of gpmc functional clock cycles. from start of read cycle and after fa5 functional clock cycles, input data will be internally sampled by active functional clock edge. fa5 value should be stored inside accesstime register bits field. (3) gpmc_fclk is an internal clock (gpmc functional clock) not provided externally (4) the "dir" (direction control) output signal is not pinned out on any of the device pads. it is an internal signal only representing a signal direction on the gpmc data bus. gpmc_fclk gpmc_clk gpmc_cs i gpmc_a27 gpmc_ben0 gpmc_ 1 ben gpmc_ _ale advn gpmc_oen_ren gpmc_a [15:0] d dir gpmc_wait j address (msb) valid valid address (lsb) data in data in out out in out fa0 fa9 fa10 fa3 fa13 fa29 fa1 fa37 fa12 fa4 fa10 fa0 fa5 fa14 fa15 gpmc_11 gpmc_a[10:1]
138 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-18. gpmc / multiplexed nor flash - asynchronous write - single word timing (1) (1) in ? gpmc_cs i ? , i = 0 to 7. in ? gpmc_waitj ? , j = 0 to 1. (2) the "dir" (direction control) output signal is not pinned out on any of the device pads. it is an internal signal only representing a signal direction on the gpmc data bus. 7.9.3 gpmc/nand flash interface asynchronous timing table 7-13 and table 7-14 assume testing over the recommended operating conditions and electrical characteristic conditions below (see figure 7-19 through figure 7-22 ). table 7-13. gpmc/nand flash interface timing requirements (1) no. parameter description min max unit gnf12 t acc(dat) data maximum access time (gpmc_fclk cycles) j cycles - t su(dv-oeh) setup time, read gpmc_ad[15:0] valid before gpmc_oen_ren high 1.9 ns - - t h(oeh-dv) hold time, read gpmc_ad[15:0] valid after gpmc_oen_ren high 1 ns (1) j = accesstime (timeparagranularity + 1) table 7-14. gpmc/nand flash interface switching characteristics no. parameter description min max unit - t r(do) rising time, gpmc_ad[15:0] output data 0.447 4.067 ns - - t f(do) fallling time, gpmc_ad[15:0] output data 0.43 4.463 ns gnf0 t w(nwev) pulse duration, gpmc_wen valid time a (1) ns gnf1 t d(ncsv-nwev) delay time, gpmc_cs[7:0] valid to gpmc_wen valid b - 0.2 (2) b + 2.0 (2) ns gpmc_fclk gpmc_clk gpmc_cs i gpmc_ben0 gpmc_ 1 ben gpmc_ _ale advn gpmc_wen gpmc_ad[15:0] gpmc_wait j dir address (msb) valid address (lsb) data out out fa0 fa1 fa9 fa10 fa3 fa25 fa29 fa12 fa27 fa28 fa0 fa10 gpmc_12 gpmc_a27 gpmc_a[10:1]
139 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-14. gpmc/nand flash interface switching characteristics (continued) no. parameter description min max unit gnf2 t d(cleh-nwev) delay time, gpmc_ben[1:0] high to gpmc_wen valid c - 0.2 (3) c + 2.0 (3) ns gnf3 t d(nwev-dv) delay time, gpmc_ad[15:0] valid to gpmc_wen valid d - 0.2 (4) d + 2.0 (4) ns gnf4 t d(nweiv-div) delay time, gpmc_wen invalid to gpmc_ad[15:0] invalid e - 0.2 (5) e + 2.0 (5) ns gnf5 t d(nweiv-cleiv) delay time, gpmc_wen invalid to gpmc_ben[1:0] invalid f - 0.2 (6) f + 2.0 (6) ns gnf6 t d(nweiv-ncsiv) delay time, gpmc_wen invalid to gpmc_cs[7:0] invalid g - 0.2 (7) g + 2.0 (7) ns gnf7 t d(aleh-nwev) delay time, gpmc_advn_ale high to gpmc_wen valid c - 0.2 (3) c + 2.0 (3) ns gnf8 t d(nweiv-aleiv) delay time, gpmc_wen invalid to gpmc_advn_ale invalid f - 0.2 (6) f + 2.0 (6) ns gnf9 t c(nwe) cycle time, write cycle time h (8) ns gnf10 t d(ncsv-noev) delay time, gpmc_cs[7:0] valid to gpmc_oen_ren valid i - 0.2 (9) i + 2.0 (9) ns gnf13 t w(noev) pulse duration, gpmc_oen_ren valid time k ns gnf14 t c(noe) cycle time, read cycle time l (10) ns gnf15 t d(noeiv-ncsiv) delay time, gpmc_oen_ren invalid to gpmc_cs[7:0] invalid m - 0.2 (11) m + 2.0 (11) ns (1) a = (weofftime ? weontime) (timeparagranularity + 1) gpmc_fclk (2) b = ((weontime ? csontime) (timeparagranularity + 1) + 0.5 (weextradelay ? csextradelay)) gpmc_fclk (3) c = ((weontime ? advontime) (timeparagranularity + 1) + 0.5 (weextradelay ? advextradelay)) gpmc_fclk (4) d = (weontime (timeparagranularity + 1) + 0.5 weextradelay ) gpmc_fclk (5) e = (wrcycletime ? weofftime (timeparagranularity + 1) ? 0.5 weextradelay ) gpmc_fclk (6) f = (advwrofftime ? weofftime (timeparagranularity + 1) + 0.5 (advextradelay ? weextradelay ) gpmc_fclk (7) g = (cswrofftime ? weofftime (timeparagranularity + 1) + 0.5 (csextradelay ? weextradelay ) gpmc_fclk (8) h = wrcycletime (1 + timeparagranularity) gpmc_fclk (9) i = ((oeofftime + (n ? 1) pageburstaccesstime ? csontime) (timeparagranularity + 1) + 0.5 (oeextradelay ? csextradelay)) gpmc_fclk (10) k = (oeofftime ? oeontime) (1 + timeparagranularity) gpmc_fclk (11) l = rdcycletime (1 + timeparagranularity) gpmc_fclk (12) m = (csrdofftime ? oeofftime (timeparagranularity + 1) + 0.5 (csextradelay ? oeextradelay ) gpmc_fclk
140 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-19. gpmc / nand flash - command latch cycle timing (1) (1) in gpmc_cs i , i = 0 to 7. figure 7-20. gpmc / nand flash - address latch cycle timing (1) (1) in gpmc_cs i , i = 0 to 7. gpmc_fclk gpmc_cs i gpmc_ben0 gpmc_ _ale advn gpmc_oen_ren gpmc_wen gpmc_ad[15:0] address gnf0 gnf1 gnf7 gnf3 gnf4 gnf6 gnf8 gnf9 gpmc_14 gpmc_fclk gpmc_cs i gpmc_ben0 gpmc_ _ale advn gpmc_oen_ren gpmc_wen gpmc_ad[15:0] command gnf0 gnf1 gnf2 gnf3 gnf4 gnf5 gnf6 gpmc_13
141 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-21. gpmc / nand flash - data read cycle timing (1) (2) (3) (1) gnf12 parameter illustrates amount of time required to internally sample input data. it is expressed in number of gpmc functional clock cycles. from start of read cycle and after gnf12 functional clock cycles, input data will be internally sampled by active functional clock edge. gnf12 value must be stored inside accesstime register bits field. (2) gpmc_fclk is an internal clock (gpmc functional clock) not provided externally. (3) in gpmc_cs i , i = 0 to 7. in gpmc_waitj, j = 0 to 1. figure 7-22. gpmc / nand flash - data write cycle timing (1) (1) in gpmc_cs i , i = 0 to 7. note to configure the desired virtual mode the user must set modeselect bit and delaymode bitfield for each corresponding pad control register. the pad control registers are presented table 4-3 and described in device trm, control module section. 7.10 general-purpose timers gpmc_fclk gpmc_cs i gpmc_ben0 gpmc_ _ale advn gpmc_oen_ren gpmc_wen gpmc_ad[15:0] data gnf0 gnf1 gnf4 gnf6 gnf9 gnf3 gpmc_16 gpmc_fclk gpmc_cs i gpmc_ben0 gpmc_ _ale advn gpmc_oen_ren gpmc_ad[15:0] gpmc_wait j data gnf10 gnf13 gnf14 gnf15 gnf12 gpmc_15
142 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated the device has eight gp timers: timer1 through timer8. ? timer1 (1-ms tick) includes a specific function to generate accurate tick interrupts to the operating system and it belongs to the pd_wkupaon domain. ? timer2 through timer8 belong to the pd_coreaon module. each timer can be clocked from the system clock (19.2, 20, or 27 mhz) or the 32-khz clock. select the clock source at the power, reset, and clock management (prcm) module level. each timer provides an interrupt through the device irq_crossbar. each timer is connected to an external pin by their pwm output or their event capture input pin (for external timer triggering). 7.10.1 gp timer features the following are the main features of the gp timer controllers: ? level 4 (l4) slave interface support: ? 32-bit data bus width ? 32- or 16-bit access supported ? 8-bit access not supported ? 10-bit address bus width ? burst mode not supported ? write nonposted transaction mode supported ? interrupts generated on overflow, compare, and capture ? free-running 32-bit upward counter ? compare and capture modes ? autoreload mode ? start and stop mode ? programmable divider clock source (2 n , where n = [0:8]) ? dedicated input trigger for capture mode and dedicated output trigger/pwm signal ? dedicated gp output signal for using the timeri_gpo_cfg signal ? on-the-fly read/write register (while counting) ? 1-ms tick with 32.768-hz functional clock generated (only timer1) 7.11 inter-integrated circuit interface (i2c) the device includes 2 inter-integrated circuit (i2c) modules which provide an interface to other devices compliant with philips semiconductors inter-ic bus (i2c-bus ? ) specification version 2.1. external components attached to this 2-wire serial bus can transmit/receive 8-bit data to/from the device through the i2c module. note note that, i2c1 and i2c2, due to characteristics of the open drain io cells, hs mode is not supported note inter-integrated circuit i ( i=1 to 2) module is also referred to as i2ci. note for more information, see the multimaster i2c controller section of the device trm.
143 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-15 and figure 7-23 assume testing over the recommended operating conditions and electrical characteristic conditions below. table 7-15. timing requirements for i2c input timings (1) no. parameter description standard mode fast mode unit min max min max 1 t c(scl) cycle time, scl 10 2.5 s 2 t su(sclh-sdal) setup time, scl high before sda low (for a repeated start condition) 4.7 0.6 s 3 t h(sdal-scll) hold time, scl low after sda low (for a start and a repeated start condition) 4 0.6 s 4 t w(scll) pulse duration, scl low 4.7 1.3 s 5 t w(sclh) pulse duration, scl high 4 0.6 s 6 t su(sdav-sclh) setup time, sda valid before scl high 250 100 (2) ns 7 t h(scll-sdav) hold time, sda valid after scl low 0 (3) 3.45 (4) 0 (3) 0.9 (4) s 8 t w(sdah) pulse duration, sda high between stop and start conditions 4.7 1.3 s 9 t r(sda) rise time, sda 1000 20 + 0.1c b (5) 300 ns 10 t r(scl) rise time, scl 1000 20 + 0.1c b (5) 300 ns 11 t f(sda) fall time, sda 300 20 + 0.1c b (5) 300 ns 12 t f(scl) fall time, scl 300 20 + 0.1c b (5) 300 ns 13 t su(sclh-sdah) setup time, scl high before sda high (for stop condition) 4 0.6 s 14 t w(sp) pulse duration, spike (must be suppressed) 0 50 ns 15 c b (5) capacitive load for each bus line 400 400 pf (1) the i2c pins sda and scl do not feature fail-safe i/o buffers. these pins could potentially draw current when the device is powered down. (2) a fast-mode i 2 c-bus ? device can be used in a standard-mode i 2 c-bus system, but the requirement t su(sda-sclh) 250 ns must then be met. this will automatically be the case if the device does not stretch the low period of the scl signal. if such a device does stretch the low period of the scl signal, it must output the next data bit to the sda line t r max + t su(sda-sclh) = 1000 + 250 = 1250 ns (according to the standard-mode i 2 c-bus specification) before the scl line is released. (3) a device must internally provide a hold time of at least 300 ns for the sda signal (referred to the v ihmin of the scl signal) to bridge the undefined region of the falling edge of scl. (4) the maximum t h(sda-scll) has only to be met if the device does not stretch the low period [t w(scll) ] of the scl signal. (5) c b = total capacitance of one bus line in pf. if mixed with hs-mode devices, faster fall-times are allowed.
144 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-23. i2c receive timing table 7-16 and figure 7-24 assume testing over the recommended operating conditions and electrical characteristic conditions below. table 7-16. switching characteristics over recommended operating conditions for i2c output timings (2) no. parameter description standard mode fast mode unit min max min max 16 t c(scl) cycle time, scl 10 2.5 s 17 t su(sclh-sdal) setup time, scl high before sda low (for a repeated start condition) 4.7 0.6 s 18 t h(sdal-scll) hold time, scl low after sda low (for a start and a repeated start condition) 4 0.6 s 19 t w(scll) pulse duration, scl low 4.7 1.3 s 20 t w(sclh) pulse duration, scl high 4 0.6 s 21 t su(sdav-sclh) setup time, sda valid before scl high 250 100 ns 22 t h(scll-sdav) hold time, sda valid after scl low (for i2c bus devices) 0 3.45 0 0.9 s 23 t w(sdah) pulse duration, sda high between stop and start conditions 4.7 1.3 s 24 t r(sda) rise time, sda 1000 20 + 0.1c b (1) 300 ns 25 t r(scl) rise time, scl 1000 20 + 0.1c b (1) 300 ns 26 t f(sda) fall time, sda 300 20 + 0.1c b (1) 300 ns 27 t f(scl) fall time, scl 300 20 + 0.1c b (1) 300 ns 28 t su(sclh-sdah) setup time, scl high before sda high (for stop condition) 4 0.6 s 29 c p capacitance for each i2c pin 10 10 pf 10 8 4 3 7 12 5 6 14 2 3 13 stop start repeated start stop i2ci_sda i2ci_scl 1 11 9 sprs91v_i2c_01
145 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated (1) c b = total capacitance of one bus line in pf. if mixed with hs-mode devices, faster fall-times are allowed. (2) software must properly configure the i2c module registers to achieve the timings shown in this table. see the device trm for details. figure 7-24. i2c transmit timing 7.12 universal asynchronous receiver transmitter (uart) the uart performs serial-to-parallel conversions on data received from a peripheral device and parallel- to-serial conversion on data received from the cpu. there are 3 uart modules in the device. each uart can be used for configuration and data exchange with a number of external peripheral devices or interprocessor communication between devices. the uarti (where i = 1 to 3) include the following features: ? 16c750 compatibility ? 64-byte fifo buffer for receiver and 64-byte fifo for transmitter ? baud generation based on programmable divisors n (where n = 1 ? 16 384) operating from a fixed functional clock of 48 mhz or 192 mhz ? break character detection and generation ? configurable data format: ? data bit: 5, 6, 7, or 8 bits ? parity bit: even, odd, none ? stop-bit: 1, 1.5, 2 bit(s) ? flow control: hardware (rts/cts) or software (xon/xoff) note for more information, see the uart section of the device trm. table 7-17 , table 7-18 and figure 7-25 assume testing over the recommended operating conditions and electrical characteristic conditions below. table 7-17. timing requirements for uart no. parameter description min max unit 4 t w(rx) pulse width, receive data bit, 15/30/100pf high or low 0.96u (1) 1.05u (1) ns 5 t w(cts) pulse width, receive start bit, 15/30/100pf high or low 0.96u (1) 1.05u (1) ns t d(rts-tx) delay time, transmit start bit to transmit data p (2) ns t d(cts-tx) delay time, receive start bit to transmit data p (2) ns 25 23 19 18 22 27 20 21 17 18 28 stop start repeated start stop i2ci_sda i2ci_scl 16 26 24 sprs91v_i2c_02
146 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated (1) u = uart baud time = 1/programmed baud rate (2) p = clock period of the reference clock (fclk, usually 48 mhz or 192mhz). table 7-18. switching characteristics over recommended operating conditions for uart no. parameter description min max unit f (baud) maximum programmable baud rate 15 pf 12 mhz 30 pf 0.23 100 pf 0.115 2 t w(tx) pulse width, transmit data bit, 15/30/100 pf high or low u - 2 (1) u + 2 (1) ns 3 t w(rts) pulse width, transmit start bit, 15/30/100 pf high or low u - 2 (1) u + 2 (1) ns (1) u = uart baud time = 1/programmed baud rate figure 7-25. uart timing caution the io timings provided in this section are only valid if signals within a single ioset are used. the iosets are defined in table 7-19 . in table 7-19 are presented the specific groupings of signals (ioset) for use with uart. table 7-19. uart1-3 iosets signals ioset1 ioset2 ioset3 ball mux ball mux ball mux uart1 uart1_rxd f13 0 f13 0 uart1_txd e14 0 e14 0 uart1_rtsn c14 0 uart1_ctsn f14 0 uart2 uart2_rxd e7 2 d14 0 uart2_txd f7 2 d15 0 uart2_rtsn f16 0 uart2_ctsn f15 0 uart3 uart3_rxd w7 4 l1 1 m2 1 uart3_txd w6 4 l2 1 r6 1 uart3_rtsn r7 1 t5 1 3 2 start bit data bits uarti_txd 5 data bits bit start 4 uarti_rxd sprs91v_uart_01
147 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-19. uart1-3 iosets (continued) signals ioset1 ioset2 ioset3 ball mux ball mux ball mux uart3_ctsn n4 1 u6 1 7.13 multichannel serial peripheral interface (mcspi) the mcspi is a master/slave synchronous serial bus. there are four separate mcspi modules (spi1, spi2, spi3, and spi4) in the device. all these four modules support up to four external devices (four chip selects) and are able to work as both master and slave. the mcspi modules include the following main features: ? serial clock with programmable frequency, polarity, and phase for each channel ? wide selection of spi word lengths, ranging from 4 to 32 bits ? up to four master channels, or single channel in slave mode ? master multichannel mode: ? full duplex/half duplex ? transmit-only/receive-only/transmit-and-receive modes ? flexible input/output (i/o) port controls per channel ? programmable clock granularity ? spi configuration per channel. this means, clock definition, polarity enabling and word width ? power management through wake-up capabilities ? programmable timing control between chip select and external clock generation ? built-in fifo available for a single channel. ? each spi module supports multiple chip select pins spim_cs[i], where i = 1 to 4. note for more information, see the serial communication interface section of the device trm. note the mcspim module (m = 1 to 4) is also referred to as spim. table 7-20 , figure 7-26 and figure 7-27 present timing requirements for mcspi - master mode. table 7-20. timing requirements for spi - master mode no. parameter description mode min max unit sm1 t c(spiclk) cycle time, spi_sclk (1) (2) spi1/2/3/ 4 20.8 ns sm2 t w(spiclkl) typical pulse duration, spi_sclk low (1) 0.5 p-1 (3) ns sm3 t w(spiclkh) typical pulse duration, spi_sclk high (1) 0.5 p-1 (3) ns sm4 t su(miso-spiclk) setup time, spi_d[x] valid before spi_sclk active edge (1) 2.29 ns sm5 t h(spiclk-miso) hold time, spi_d[x] valid after spi_sclk active edge (1) 2.67 ns sm6 t d(spiclk-simo) delay time, spi_sclk active edge to spi_d[x] transition (1) spi1/2/4 -3.57 3.57 ns spi3 -3.57 3.57 ns sm7 t d(cs-simo) delay time, spi_cs[x] active edge to spi_d[x] transition 3.57 ns
148 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-20. timing requirements for spi - master mode (continued) no. parameter description mode min max unit sm8 t d(cs-spiclk) delay time, spi_cs[x] active to spi_sclk first edge (1) master _pha0 (4) b-4.2 (5) ns master _pha1 (4) a-4.2 (6) ns sm9 t d(spiclk-cs) delay time, spi_sclk last edge to spi_cs[x] inactive (1) master _pha0 (4) a-4.2 (6) ns master _pha1 (4) b-4.2 (5) ns (1) this timing applies to all configurations regardless of spi_clk polarity and which clock edges are used to drive output data and capture input data. (2) related to the spi_clk maximum frequency. (3) p = spiclk period. (4) spi_clk phase is programmable with the pha bit of the spi_ch(i)conf register. (5) b = (tcs + 0.5) tspiclkref fratio, where tcs is a bit field of the spi_ch(i)conf register and fratio = even 2. (6) when p = 20.8 ns, a = (tcs + 1) tspiclkref, where tcs is a bit field of the spi_ch(i)conf register. when p > 20.8 ns, a = (tcs + 0.5) fratio tspiclkref, where tcs is a bit field of the spi_ch(i)conf register. (7) the io timings provided in this section are applicable for all combinations of signals for spi1 and spi2. however, the timings are only valid for spi3 and spi4 if signals within a single ioset are used. the iosets are defined in the following tables.
149 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-26. mcspi - master mode transmit spim_cs(out) spi _sclk(out) m spi _sclk m (out) spi _m d(out) spim_cs(out) spi _sclk m (out) spi _sclk m (out) spi _m d(out) bit n-1 bit n-2 bit n-3 bit n-4 bit 0 bit n-1 bit n-2 bit n-3 bit 1 bit0 pha=0epol=1 pol=0 pol=1 pha=1epol=1 pol=0 pol=1 sm8 sm9 sm6 sm3 sm1 sm2 sm1 sm8 sm9 sm3 sm1 sm2 sm1 sm6 sm7 sm6 sm2 sm3 sm2 sm3 sm6 sm6 sm6 sprs91v_mcspi_01
150 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-27. mcspi - master mode receive table 7-21 , figure 7-28 and figure 7-29 present timing requirements for mcspi - slave mode. table 7-21. timing requirements for spi - slave mode (5) no. parameter description mode min max unit ss1 (1) (2) t c(spiclk) cycle time, spi_sclk spi1 25 ns spi2/3/4 33.3 ns ss2 (1) t w(spiclkl) (3) typical pulse duration, spi_sclk low 0.45 p ns ss3 (1) t w(spiclkh) (3) typical pulse duration, spi_sclk high 0.45 p ns ss4 (1) t su(simo-spiclk) setup time, spi_d[x] valid before spi_sclk active edge 2.82 ns ss5 (1) t h(spiclk-simo) hold time, spi_d[x] valid after spi_sclk active edge 2.82 ns ss6 (1) t d(spiclk-somi) delay time, spi_sclk active edge to mcspi_somi transition spi1 2 9.8 ns spi2/3/4 2 21 ns ss7 (4) t d(cs-somi) delay time, spi_cs[x] active edge to mcspi_somi transition 16 ns ss8 (1) t su(cs-spiclk) setup time, spi_cs[x] valid before spi_sclk first edge 2.82 ns spim _cs(out) spi _sclk m (out) spi _sclk m (out) spi _m d (in) spim _cs(out) spi _sclk m (out) spi _sclk m (out) spi _m d (in) bit n-1 bit n-2 bit n-3 bit n-4 bit 0 bit n-1 bit n-2 bit n-3 bit 1 bit 0 pha=0epol=1 pha=1 epol=1 pol=0pol=1 pol=0 pol=1 sm8 sm9 sm3 sm1 sm2 sm1 sm8 sm9 sm3 sm1 sm2 sm1 sm2 sm3 sm2 sm3 sm4 sm5 sm4 sm5 sm4 sm4 sm5 sm5 sprs91v_mcspi_02
151 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-21. timing requirements for spi - slave mode (5) (continued) no. parameter description mode min max unit ss9 (1) t h(spiclk-cs) hold time, spi_cs[x] valid after spi_sclk last edge 2.82 ns (1) this timing applies to all configurations regardless of spi_clk polarity and which clock edges are used to drive output data and capture input data. (2) when operating the spi interface in rx-only mode, the minimum cycle time is 26ns (38.4mhz) (3) p = spiclk period. (4) pha = 0; spi_clk phase is programmable with the pha bit of the spi_ch(i)conf register. (5) the io timings provided in this section are applicable for all combinations of signals for spi1 and spi2. however, the timings are only valid for spi3 and spi4 if signals within a single ioset are used. the iosets are defined in the following tables. figure 7-28. mcspi - slave mode transmit spim _cs(in) spi _sclk m (in) spi _sclk m (in) spi _m d (out) spim _cs(in) spi _sclk m (in) spi _sclk m (in) spi _m d (out) bit n-1 bit n-2 bit n-3 bit n-4 bit 0 bit n-1 bit n-2 bit n-3 bit 1 bit 0 pha=0epol=1 pol=0 pol=1 pol=0 pol=1 pha=1 epol=1 ss6 ss3 ss1 ss3 ss1 ss3 ss1 ss2 ss1 ss6 ss6 ss8 ss9 ss7 ss8 ss2 ss3 ss2 ss2 ss6 ss6 ss6 ss9 sprs91v_mcspi_03
152 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-29. mcspi - slave mode receive caution the io timings provided in this section are applicable for all combinations of signals for spi2 and spi4. however, the timings are only valid for spi1 and spi3 if signals within a single ioset are used. the iosets are defined in table 7-22 . in table 7-22 are presented the specific groupings of signals (ioset) for use with mcspi. table 7-22. mcspi1/3 iosets signals ioset1 ioset2 ioset3 ball mux ball mux ball mux spi1 spi1_sclk m2 0 m2 0 m2 0 spi1_d1 u6 0 u6 0 u6 0 spim _cs(in) spi _sclk m (in) spi _sclk m (in) spi _m d (in) spim _cs(in) spi _sclk m (in) spi _sclk m (in) spi _m d (in) bit n-1 bit n-2 bit n-3 bit n-4 bit 0 bit n-1 bit n-2 bit n-3 bit 1 bit 0 pha=0epol=1 pol=0 pol=1 pol=0 pol=1 pha=1 epol=1 ss3 ss1 ss3 ss1 ss3 ss1 ss2 ss1 ss8 ss9 ss8 ss9 ss2 ss3 ss2 ss2 ss4 ss5 ss5 ss4 ss4 ss5 ss4 ss5 sprs91v_mcspi_04
153 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-22. mcspi1/3 iosets (continued) signals ioset1 ioset2 ioset3 ball mux ball mux ball mux spi1_d0 t5 0 t5 0 t5 0 spi1_cs0 r6 0 r6 0 r6 0 spi1_cs1 r5 0 spi1_cs2 f14 5 spi1_cs3 c14 5 spi3 spi3_sclk f15 4 c6 4 spi3_d1 d14 4 f7 4 spi3_d0 d15 4 e7 4 spi3_cs0 f16 4 b6 4 7.14 quad serial peripheral interface (qspi) the quad spi (qspi) module is a type of spi module that allows single, dual or quad read access to external spi devices. this module has a memory mapped register interface, which provides a direct interface for accessing data from external spi devices and thus simplifying software requirements. it works as a master only. there is one qspi module in the device and it is primary intended for fast booting from quad-spi flash memories. general spi features: ? programmable clock divider ? six pin interface (dclk, cs_n, dout, din, qdin1, qdin2) ? 4 external chip select signals ? support for 3-, 4- or 6-pin spi interface ? programmable cs_n to dout delay from 0 to 3 dclks ? programmable signal polarities ? programmable active clock edge ? software controllable interface allowing for any type of spi transfer note for more information, see the quad serial peripheral interface section of the device trm. table 7-23 and table 7-24 present timing and switching characteristics for quad spi interface. table 7-23. switching characteristics for qspi no parameter description mode min max unit 1 t c(sclk) cycle time, sclk default timing mode, clock mode 0 10.4 ns default timing mode, clock mode 3 15.625 ns 2 t w(sclkl) pulse duration, sclk low y p-1 (1) ns 3 t w(sclkh) pulse duration, sclk high y p-1 (1) ns
154 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-23. switching characteristics for qspi (continued) no parameter description mode min max unit 4 t d(cs-sclk) delay time, sclk falling edge to cs active edge, cs3:0 default timing mode -m p-1 (2) (3) -m p+1 (2) (3) ns 5 t d(sclk-cs) delay time, sclk falling edge to cs inactive edge, cs3:0 default timing mode n p-1 (2) (3) n p+1 (2) (3) ns 6 t d(sclk-d1) delay time, sclk falling edge to d[0] transition default timing mode -1 1 ns 7 t ena(cs-d1lz) enable time, cs active edge to d[0] driven (lo-z) -p-3.5 -p+2.5 ns 8 t dis(cs-d1z) disable time, cs active edge to d[0] tri-stated (hi-z) -p-2.5 -p+2.0 ns 9 t d(sclk-d1) delay time, sclk first falling edge to first d[0] transition pha=0 only, default timing mode -1-p -1-p ns (1) the y parameter is defined as follows: if dclk_div is 0 or odd then, y equals 0.5. if dclk_div is even then, y equals (dclk_div/2) / (dclk_div+1). for best performance, it is recommended to use a dclk_div of 0 or odd to minimize the duty cycle distortion. the hsdivider on clkoutx2_h13 output of dpll_per can be used to achieve the desired clock divider ratio. all required details about clock division factor dclk_div can be found in the device-specific technical reference manual. (2) p = sclk period. (3) m=qspi_spi_dc_reg.ddx + 1 when clock mode 0. m=qspi_spi_dc_reg.ddx when clock mode 3. n = 2 when clock mode 0. n = 3 when clock mode 3. figure 7-30. qspi read (clock mode 3) cs sclk d[0] d[3:1] bit n-1 bit n-2 bit 1 bit 0 pha=1 pol=1 command command read data read data bit 1 bit 0 read data read data q4 q7 q6 q3 q2 q1 q6 q5 sprs91v_qspi_01 q12 q13 q14 q15 q12 q13 q15 q14
155 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-31. qspi read (clock mode 0) caution the io timings provided in this section are only valid when all qspi chip selects used in a system are configured to use the same clock mode (either clock mode 0 or clock mode 3). table 7-24. timing requirements for qspi no parameter description mode min max unit 12 t su(d-rtclk) setup time, d[3:0] valid before falling rtclk edge default timing mode, clock mode 0 2.9 ns t su(d-sclk) setup time, d[3:0] valid before falling sclk edge default timing mode, clock mode 3 5.7 ns 13 t h(rtclk-d) hold time, d[3:0] valid after falling rtclk edge default timing mode, clock mode 0 -0.1 ns t h(sclk-d) hold time, d[3:0] valid after falling sclk edge default timing mode, clock mode 3 0.1 ns cs sclk rtclk d[0] d[3:1] bit n-1 bit n-2 bit 1 bit 0 pha=0 pol=0 pol=0 command command read data read data bit 1 bit 0 read data read data q4 q7 q9 q2 q3 q1 q6 q5 sprs91v_qspi_02 q12 q13 q12 q13 q12 q13 q12 q13
156 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-24. timing requirements for qspi (continued) no parameter description mode min max unit 14 t su(d-sclk) setup time, final d[3:0] bit valid before final falling sclk edge default timing mode, clock mode 3 5.7-p (1) ns 15 t h(sclk-d) hold time, final d[3:0] bit valid after final falling sclk edge default timing mode, clock mode 3 0.1+p (1) ns (1) p = sclk period. (2) clock modes 1 and 2 are not supported. (3) the device captures data on the falling clock edge in clock mode 0 and 3, as opposed to the traditional rising clock edge. although non-standard, the falling-edge-based setup and hold time timings have been designed to be compatible with standard spi devices that launch data on the falling edge in clock modes 0 and 3. figure 7-32. qspi write (clock mode 3) figure 7-33. qspi write (clock mode 0) cs sclk d[0] d[3:1] bit n-1 bit n-2 bit 1 bit 0 pha=0 pol=0 command command write data write data q4 q7 q2 q3 q1 q6 q6 q5 sprs91v_qspi_04 q8 q9 q6 cs sclk d[0] d[3:1] bit n-1 bit n-2 bit 1 bit 0 pha=1 pol=1 command command write data write data q4 q7 q6 q3 q2 q1 q6 q6 q5 sprs91v_qspi_03 q8 q6
157 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated note to configure the desired virtual mode the user must set modeselect bit and delaymode bitfield for each corresponding pad control register. the pad control registers are presented in table 4-3 and described in device trm, control module section . caution the io timings provided in this section are only valid if signals within a single ioset are used. the iosets are defined in table 7-25 . in table 7-25 are presented the specific groupings of signals (ioset) for use with qspi. table 7-25. qspi iosets signals ioset1 ioset2 ioset3 ioset4 ball mux ball mux ball mux ball mux qspi1_sclk c8 1 c8 1 c8 1 c8 1 qspi1_rtclk c14 8 b7 1 f13 5 d8 2 qspi1_d0 b9 1 b9 1 b9 1 b9 1 qspi1_d1 f10 1 f10 1 f10 1 f10 1 qspi1_d2 a9 1 a9 1 a9 1 a9 1 qspi1_d3 d10 1 d10 1 d10 1 d10 1 qspi1_cs0 e10 1 e10 1 e10 1 e10 1 qspi1_cs1 f15 5 f15 5 f15 5 f15 5 7.15 multichannel audio serial port (mcasp) the multichannel audio serial port (mcasp) functions as a general-purpose audio serial port optimized for the needs of multichannel audio applications. the mcasp is useful for time-division multiplexed (tdm) stream, inter-integrated sound (i2s) protocols, and inter-component digital audio interface transmission (dit). the device has integrated 3 mcasp modules (mcasp1-mcasp3) with:configure the desired virtual ? mcasp1 and mcasp2 modules supporting 16 channels with independent tx/rx clock/sync domain ? mcasp3 module supporting 4 channels with independent tx/rx clock/sync domain note for more information, see the serial communication interface section of the device trm. table 7-26 , table 7-27 , table 7-28 and figure 7-34 present timing requirements for mcasp1 to mcasp3. table 7-26. timing requirements for mcasp1 (1) no. parameter description mode min max unit 1 t c(ahclkx) cycle time, ahclkx 20 ns 2 t w(ahclkx) pulse duration, ahclkx high or low 0.35p (2) ns
158 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-26. timing requirements for mcasp1 (1) (continued) no. parameter description mode min max unit 3 t c(aclkrx) cycle time, aclkr/x any other conditions 20 ns aclkx/afsx (in sync mode), aclkr/afsr (in async mode), and axr are all inputs 15.258 ns 4 t w(aclkrx) pulse duration, aclkr/x high or low any other conditions 0.5r - 3 (3) ns aclkx/afsx (in sync mode), aclkr/afsr (in async mode), and axr are all inputs 0.38r (3) ns 5 t su(afsrx-aclk) setup time, afsr/x input valid before aclkr/x aclkr/x int 18.5 ns aclkr/x ext in aclkr/x ext out 3 ns 6 t h(aclk-afsrx) hold time, afsr/x input valid after aclkr/x aclkr/x int 0.5 ns aclkr/x ext in aclkr/x ext out 0.4 ns 7 t su(axr-aclk) setup time, axr input valid before aclkr/x aclkr/x int 18.5 ns aclkr/x ext in aclkr/x ext out 3 ns 8 t h(aclk-axr) hold time, axr input valid after aclkr/x aclkr/x int 0.5 ns aclkr/x ext in aclkr/x ext out 0.4 ns (1) aclkr internal: aclkrctl.clkrm=1, pdir.aclkr = 1 aclkr external input: aclkrctl.clkrm=0, pdir.aclkr=0 aclkr external output: aclkrctl.clkrm=0, pdir.aclkr=1 aclkx internal: aclkxctl.clkxm=1, pdir.aclkx = 1 aclkx external input: aclkxctl.clkxm=0, pdir.aclkx=0 aclkx external output: aclkxctl.clkxm=0, pdir.aclkx=1 (2) p = ahclkx period in ns. (3) r = aclkr/x period in ns. table 7-27. timing requirements for mcasp2 (1) no. parameter description mode min max unit 1 t c(ahclkx) cycle time, ahclkx 20 ns 2 t w(ahclkx) pulse duration, ahclkx high or low 0.35p (2) ns 3 t c(aclkrx) cycle time, aclkr/x any other conditions 20 ns ioset1 only, aclkx/afsx (in sync mode), aclkr/afsr (in async mode), and axr are all inputs 15.258 ns 4 t w(aclkrx) pulse duration, aclkr/x high or low any other conditions 0.5r - 3 (3) ns ioset1 only, aclkx/afsx (in sync mode), aclkr/afsr (in async mode), and axr are all inputs 0.38r (3) ns
159 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-27. timing requirements for mcasp2 (1) (continued) no. parameter description mode min max unit 5 t su(afsrx-aclk) setup time, afsr/x input valid before aclkr/x aclkr/x int 18.5 ns ioset1 (vout1_*): aclkr/x ext in ioset1 (vout1_*): aclkr/x ext out 4 ns ioset2 (gpmc_*): aclkr/x ext in ioset2 (gpmc_*): aclkr/x ext out 3 ns 6 t h(aclk-afsrx) hold time, afsr/x input valid after aclkr/x aclkr/x int 0.5 ns aclkr/x ext in aclkr/x ext out 0.4 ns 7 t su(axr-aclk) setup time, axr input valid before aclkr/x aclkr/x int 18.5 ns ioset1 (vout1_*): aclkr/x ext in ioset1 (vout1_*): aclkr/x ext out 12 ns ioset2 (gpmc_*): aclkr/x ext in ioset2 (gpmc_*): aclkr/x ext out 3 ns 8 t h(aclk-axr) hold time, axr input valid after aclkr/x aclkr/x int 0.5 ns aclkr/x ext in aclkr/x ext out 0.4 ns (1) aclkr internal: aclkrctl.clkrm=1, pdir.aclkr = 1 aclkr external input: aclkrctl.clkrm=0, pdir.aclkr=0 aclkr external output: aclkrctl.clkrm=0, pdir.aclkr=1 aclkx internal: aclkxctl.clkxm=1, pdir.aclkx = 1 aclkx external input: aclkxctl.clkxm=0, pdir.aclkx=0 aclkx external output: aclkxctl.clkxm=0, pdir.aclkx=1 (2) p = ahclkx period in ns. (3) r = aclkr/x period in ns. table 7-28. timing requirements for mcasp3 (1) no. parameter description mode min max unit 1 t c(ahclkx) cycle time, ahclkx 20 ns 2 t w(ahclkx) pulse duration, ahclkx high or low 0.35p ns 3 t c(aclkrx) cycle time, aclkr/x 20 ns 4 t w(aclkrx) pulse duration, aclkr/x high or low 0.5r - 3 ns 5 t su(afsrx-aclk) setup time, afsr/x input valid before aclkr/x aclkr/x int 18.2 ns aclkr/x ext in aclkr/x ext out 4 ns 6 t h(aclk-afsrx) hold time, afsr/x input valid after aclkr/x aclkr/x int 0.5 ns aclkr/x ext in aclkr/x ext out 0.4 ns t su(axr-aclk) setup time, axr input valid before aclkx aclkx int (async=0) 18.2 ns aclkr/x ext in aclkr/x ext out 12 ns 8 t h(aclk-axr) hold time, axr input valid after aclkx aclkx int (async=0) 0.5 ns aclkr/x ext in aclkr/x ext out 0.5 ns
160 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated (1) aclkr internal: aclkrctl.clkrm=1, pdir.aclkr = 1 (not supported) aclkr external input: aclkrctl.clkrm=0, pdir.aclkr=0 aclkr external output: aclkrctl.clkrm=0, pdir.aclkr=1 aclkx internal: aclkxctl.clkxm=1, pdir.aclkx = 1 aclkx external input: aclkxctl.clkxm=0, pdir.aclkx=0 aclkx external output: aclkxctl.clkxm=0, pdir.aclkx=1 (2) p = ahclkx period in ns. (3) r = aclkr/x period in ns. a. for clkrp = clkxp = 0, the mcasp transmitter is configured for rising edge (to shift data out) and the mcasp receiver is configured for falling edge (to shift data in). b. for clkrp = clkxp = 1, the mcasp transmitter is configured for falling edge (to shift data out) and the mcasp receiver is configured for rising edge (to shift data in). figure 7-34. mcasp input timing table 7-29 , table 7-30 , table 7-31 and figure 7-35 present switching characteristics over recommended operating conditions for mcasp1 to mcasp3. table 7-29. switching characteristics over recommended operating conditions for mcasp1 (1) no. parameter description mode min max unit 9 t c(ahclkx) cycle time, ahclkx 20 ns 8 7 4 4 3 2 2 1 a0 a1 b0 b1 a30 a31 b30 b31 c0 c1 c2 c3 c31 ahclkx (falling edge polarity) ahclkx (rising edge polarity) afsr/x (bit width, 0 bit delay) afsr/x (bit width, 1 bit delay) afsr/x (bit width, 2 bit delay) afsr/x (slot width, 0 bit delay) afsr/x (slot width, 1 bit delay) afsr/x (slot width, 2 bit delay) axr[n] (data in/receive) 6 5 aclkr/x (clkrp = clkxp = 0) (a) aclkr/x (clkrp = clkxp = 1) (b) sprs91v_mcasp_01
161 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-29. switching characteristics over recommended operating conditions for mcasp1 (1) (continued) no. parameter description mode min max unit 10 t w(ahclkx) pulse duration, ahclkx high or low 0.5p - 2.5 (2) ns 11 t c(aclkrx) cycle time, aclkr/x 20 ns 12 t w(aclkrx) pulse duration, aclkr/x high or low 0.5p - 2.5 (3) ns 13 t d(aclk-afsxr) delay time, aclkr/x transmit edge to afsx/r output valid aclkr/x int 0 6 ns aclkr/x ext in aclkr/x ext out 2 22.2 ns 14 t d(aclk-axr) delay time, aclkr/x transmit edge to axr output valid aclkr/x int 0 6 ns aclkr/x ext in aclkr/x ext out 2 22.2 ns (1) aclkr internal: aclkrctl.clkrm=1, pdir.aclkr = 1 aclkr external input: aclkrctl.clkrm=0, pdir.aclkr=0 aclkr external output: aclkrctl.clkrm=0, pdir.aclkr=1 aclkx internal: aclkxctl.clkxm=1, pdir.aclkx = 1 aclkx external input: aclkxctl.clkxm=0, pdir.aclkx=0 aclkx external output: aclkxctl.clkxm=0, pdir.aclkx=1 (2) p = ahclkx period in ns. (3) r = aclkr/x period in ns. table 7-30. switching characteristics over recommended operating conditions for mcasp2 (1) no. parameter description mode min max unit 9 t c(ahclkx) cycle time, ahclkx 20 ns 10 t w(ahclkx) pulse duration, ahclkx high or low 0.5p - 2.5 (2) ns 11 t c(aclkrx) cycle time, aclkr/x 20 ns 12 t w(aclkrx) pulse duration, aclkr/x high or low 0.5p - 2.5 (3) ns 13 t d(aclk-afsxr) delay time, aclkr/x transmit edge to afsx/r output valid aclkr/x int 0 6 ns aclkr/x ext in aclkr/x ext out 2 22.2 ns 14 t d(aclk-axr) delay time, aclkr/x transmit edge to axr output valid aclkr/x int 0 6 ns aclkr/x ext in aclkr/x ext out 2 22.2 ns (1) aclkr internal: aclkrctl.clkrm=1, pdir.aclkr = 1 aclkr external input: aclkrctl.clkrm=0, pdir.aclkr=0 aclkr external output: aclkrctl.clkrm=0, pdir.aclkr=1 aclkx internal: aclkxctl.clkxm=1, pdir.aclkx = 1 aclkx external input: aclkxctl.clkxm=0, pdir.aclkx=0 aclkx external output: aclkxctl.clkxm=0, pdir.aclkx=1 (2) p = ahclkx period in ns. (3) r = aclkr/x period in ns. table 7-31. switching characteristics over recommended operating conditions for mcasp3 (1) no. parameter description mode min max unit 9 t c(ahclkx) cycle time, ahclkx 20 ns 10 t w(ahclkx) pulse duration, ahclkx high or low 0.5p - 2.5 ns 11 t c(aclkrx) cycle time, aclkr/x 20 ns 12 t w(aclkrx) pulse duration, aclkr/x high or low 0.5p - 2.5 ns
162 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-31. switching characteristics over recommended operating conditions for mcasp3 (1) (continued) no. parameter description mode min max unit 13 t d(aclk-afsxr) delay time, aclkr/x transmit edge to afsx/r output valid aclkr/x int 0 6 ns aclkr/x ext in aclkr/x ext out 2 23.1 ns 14 t d(aclk-axr) delay time, aclkr/x transmit edge to axr output valid aclkr/x int 0 6 ns aclkr/x ext in aclkr/x ext out 2 23.1 ns (1) aclkr internal: aclkrctl.clkrm=1, pdir.aclkr = 1 aclkr external input: aclkrctl.clkrm=0, pdir.aclkr=0 aclkr external output: aclkrctl.clkrm=0, pdir.aclkr=1 aclkx internal: aclkxctl.clkxm=1, pdir.aclkx = 1 aclkx external input: aclkxctl.clkxm=0, pdir.aclkx=0 aclkx external output: aclkxctl.clkxm=0, pdir.aclkx=1 (2) p = ahclkx period in ns. (3) r = aclkr/x period in ns.
163 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated a. for clkrp = clkxp = 1, the mcasp transmitter is configured for falling edge (to shift data out) and the mcasp receiver is configured for rising edge (to shift data in). b. for clkrp = clkxp = 0, the mcasp transmitter is configured for rising edge (to shift data out) and the mcasp receiver is configured for falling edge (to shift data in). figure 7-35. mcasp output timing note to configure the desired virtual mode the user must set modeselect bit and delaymode bitfield for each corresponding pad control register. the pad control registers are presented table 4-3 and described in device trm, control module section . 15 14 13 13 13 13 13 13 13 12 12 11 10 10 9 a0 a1 b0 b1 a30 a31 b30 b31 c0 c1 c2 c3 c31 ahclkx (falling edge polarity) ahclkx (rising edge polarity) afsr/x (bit width, 0 bit delay) afsr/x (bit width, 1 bit delay) afsr/x (bit width, 2 bit delay) afsr/x (slot width, 0 bit delay) afsr/x (slot width, 1 bit delay) afsr/x (slot width, 2 bit delay) axr[n] (data out/transmit) aclkr/x (clkrp = clkxp = 0) (b) aclkr/x (clkrp = clkxp = 1) (a) sprs91v_mcasp_02
164 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated caution the io timings provided in this section are only valid if signals within a single ioset are used. the iosets are defined in table 7-32 . in table 7-32 and table 7-33 are presented the specific groupings of signals (ioset) for use with mcasp1 and mcasp2. table 7-32. mcasp1 iosets signals ioset1 ioset2 ioset3 ball mux ball mux ball mux mcasp1_aclkx u17 1 u17 1 u17 1 mcasp1_fsx w17 1 w17 1 w17 1 mcasp1_aclkr aa17 1 mcasp1_fsr u16 1 mcasp1_axr0 w16 1 w16 1 w16 1 mcasp1_axr1 v16 1 v16 1 v16 1 mcasp1_axr2 u15 1 mcasp1_axr3 v15 1 mcasp1_axr4 y15 1 mcasp1_axr5 w15 1 mcasp1_axr6 aa15 1 mcasp1_axr7 ab15 1 mcasp1_axr8 aa14 1 aa14 1 u15 4 mcasp1_axr9 ab14 1 ab14 1 v15 4 mcasp1_axr10 u13 1 y15 4 mcasp1_axr11 v13 1 w15 4 mcasp1_axr12 y13 1 aa15 4 mcasp1_axr13 w13 1 ab15 4 mcasp1_axr14 u11 1 u7 4 mcasp1_axr15 v11 1 v7 4 table 7-33. mcasp2 iosets signals ioset1 ioset2 ball mux (1) ball mux (1) mcasp2_ahclkx y13 15 c6 15 mcasp2_aclkx u11 15 f7 15 mcasp2_fsx v11 15 e7 15 mcasp2_aclkr w13 15 b6 15 mcasp2_fsr w11 15 a5 15 mcasp2_axr0 v9 15 d6 15 mcasp2_axr1 w9 15 c5 15 mcasp2_axr2 u8 15 b5 15 mcasp2_axr3 w8 15 d7 15 mcasp2_axr4 u7 15 b4 15 mcasp2_axr5 v7 15 a4 15
165 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated (1) all mcasp2 signals are virtual functions that present alternate multiplexing options. these virtual functions are controlled via ctrl_core_sma_sw_* registers. for more information on how to use these options, please refer to device trm, chapter control module, section pad configuration registers. 7.16 controller area network interface (dcan and mcan) 7.16.1 dcan the device provides one dcan interface for supporting distributed realtime control with a high level of security. the dcan interface implements the following features: ? supports can protocol version 2.0 part a, b ? bit rates up to 1 mbit/s ? 64 message objects ? individual identifier mask for each message object ? programmable fifo mode for message objects ? programmable loop-back modes for self-test operation ? suspend mode for debug support ? automatic bus on after bus-off state by a programmable 32-bit timer ? message ram single error correction and double error detection (secded) mechanism ? direct access to message ram during test mode ? support for two interrupt lines: level 0 and level 1, plus separate ecc interrupt line ? local power down and wakeup support ? automatic message ram initialization ? support for dma access 7.16.2 mcan the device supports one mcan module connecting to the can network through external (for the device) transceiver for connection to the physical layer. the mcan module supports up to 5 mbit/s data rate and is compliant to iso 11898-1:2015. the mcan module implements the following features: ? conforms with iso 11898-1:2015 ? full can fd support (up to 64 data bytes) ? autosar and sae j1939 support ? up to 32 dedicated transmit buffers ? configurable transmit fifo, up to 32 elements ? configurable transmit queue, up to 32 elements ? configurable transmit event fifo, up to 32 elements ? up to 64 dedicated receive buffers ? two configurable receive fifos, up to 64 elements each ? up to 128 filter elements ? internal loopback mode for self-test ? maskable interrupts, two interrupt lines ? two clock domains (can clock/host clock) ? parity/ecc support - message ram single error correction and double error detection (secded) mechanism ? local power-down and wakeup support ? timestamp counter
166 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated note for more information, see the serial communication interfaces / dcan and mcan sections of the device trm. note refer to the can specification for calculations necessary to validate timing compliance. jitter tolerance calculations must be performed to validate the implementation. table 7-34 and table 7-35 present timing and switching characteristics for dcan and mcan interface. table 7-34. timing requirements for can receive no. parameter description min nom max unit f(baud) maximum programmable baud rate 1 mbps - t d(cannrx) delay time, cannrx pin to receive shift register 10 ns table 7-35. switching characteristics over recommended operating conditions for can transmit no. parameter description min max unit f(baud) maximum programmable baud rate 1 mbps - t d(canntx) delay time, transmit shift register to canntx pin (1) 10 ns (1) these values do not include rise/fall times of the output buffer. caution the io timings provided in this section are only valid if signals within a single ioset are used. the iosets are defined in table 7-36 . in table 7-36 are presented the specific groupings of signals (ioset) for use with dcan and mcan. table 7-36. dcan and mcan iosets signals ioset1 ioset2 ioset3 ioset4 ball mux ball mux ball mux ball mux dcan1 dcan1_tx n5 0 d14 12 f14 12 dcan1_rx n6 0 d15 12 c14 12 mcan mcan_tx w7 0 f13 12 f15 12 mcan_rx w6 0 e14 12 f16 12 7.17 ethernet interface (gmac_sw) the two-port gigabit ethernet switch subsystem (gmac_sw) provides ethernet packet communication and can be configured as an ethernet switch. it provides reduced gigabit media independent interface (rgmii), and the management data input/output (mdio) for physical layer device (phy) management. note for more information, see the gigabit ethernet switch (gmac_sw) section of the device trm.
167 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated note the gigabit, reduced and media independent interface n (n = 0 to 1) are also referred to as rgmiin 7.17.1 gmac mdio interface timings table 7-37 , table 7-38 and figure 7-36 present timing requirements for mdio. table 7-37. timing requirements for mdio input no parameter description min max unit 1 t c(mdc) cycle time, mdc 400 ns 2 t w(mdch) pulse duration, mdc high 160 ns 3 t w(mdcl) pulse duration, mdc low 160 ns 4 t su(mdio-mdc) setup time, mdio valid before mdc high 90 ns 5 t h(mdio_mdc) hold time, mdio valid from mdc high 0 ns table 7-38. switching characteristics over recommended operating conditions for mdio output no parameter description min max unit 6 t t(mdc) transition time, mdc 5 ns 7 t d(mdc-mdio) delay time, mdc high to mdio valid 10 390 ns figure 7-36. gmac mdio diagrams 1 mdio4 mdio5 mdio7 mdio2 mdio3 mdio6 mdio6 mdclk mdio (input) mdio (output) sprs91v_gmac_07
168 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated 7.17.2 gmac rgmii timings table 7-39 , table 7-40 and figure 7-37 present timing requirements for receive rgmiin operation. table 7-39. timing requirements for rgmiin_rxc - rgmiin operation no. parameter description speed min max unit 1 t c(rxc) cycle time, rgmiin_rxc 10 mbps 360 440 ns 100 mbps 36 44 ns 1000 mbps 7.2 8.8 ns 2 t w(rxch) pulse duration, rgmiin_rxc high 10 mbps 160 240 ns 100 mbps 16 24 ns 1000 mbps 3.6 4.4 ns 3 t w(rxcl) pulse duration, rgmiin_rxc low 10 mbps 160 240 ns 100 mbps 16 24 ns 1000 mbps 3.6 4.4 ns 4 t t(rxc) transition time, rgmiin_rxc 10 mbps 0.75 ns 100 mbps 0.75 ns 1000 mbps 0.75 ns table 7-40. timing requirements for gmac rgmiin input receive for 10/100/1000 mbps no. parameter description min max unit 5 t su(rxd-rxch) setup time, receive selected signals valid before rgmiin_rxc high/low 1.15 ns 6 t h(rxch-rxd) hold time, receive selected signals valid after rgmiin_rxc high/low 1.15 ns (1) for rgmii, receive selected signals include: rgmiin_rxd[3:0] and rgmiin_rxctl. (2) rgmii0 requires that the 4 data pins rgmii0_rxd[3:0] and rgmii0_rxctl have their board propagation delays matched within 50ps of rgmii0_rxc. (3) rgmii1 requires that the 4 data pins rgmii1_rxd[3:0] and rgmii1_rxctl have their board propagation delays matched within 50ps of rgmii1_rxc. a. rgmiin_rxc must be externally delayed relative to the data and control pins. b. data and control information is received using both edges of the clocks. rgmiin_rxd[3:0] carries data bits 3-0 on the rising edge of rgmiin_rxc and data bits 7-4 on the falling edge ofrgmiin_rxc. similarly, rgmiin_rxctl carries rxdv on rising edge of rgmiin_rxc and rxerr on falling edge of rgmiin_rxc. figure 7-37. gmac receive interface timing, rgmiin operation table 7-41 , table 7-42 and figure 7-38 present switching characteristics for rgmii n _txctl - rgmiin operation for 10/100/1000 mbit/s rgmii _rxd[3:0] n (b) rgmii _rxctl n (b) rgmii _rxc n (a) 5 rxerr rxdv 1st half-byte 2nd half-byte rgrxd[7:4] rgrxd[3:0] 2 3 1 4 4 6 sprs91v_gmac_08
169 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-41. switching characteristics over recommended operating conditions for rgmiin_txctl - rgmiin operation for 10/100/1000 mbit/s no. parameter description speed min max unit 1 t c(txc) cycle time, rgmiin_txc 10 mbps 360 440 ns 100 mbps 36 44 ns 1000 mbps 7.2 8.8 ns 2 t w(txch) pulse duration, rgmiin_txc high 10 mbps 160 240 ns 100 mbps 16 24 ns 1000 mbps 3.6 4.4 ns 3 t w(txcl) pulse duration, rgmiin_txc low 10 mbps 160 240 ns 100 mbps 16 24 ns 1000 mbps 3.6 4.4 ns 4 t t(txc) transition time, rgmiin_txc 10 mbps 0.75 ns 100 mbps 0.75 ns 1000 mbps 0.75 ns table 7-42. switching characteristics for gmac rgmiin output transmit for 10/100/1000 mbps (1) no. parameter description mode min max unit 5 t osu(txd-txc) output setup time, transmit selected signals valid to rgmiin_txc high/low rgmii0, internal delay enabled, 1000 mbps ns rgmii0, internal delay enabled, 10/100 mbps 1.2 ns rgmii1, internal delay enabled, 1000 mbps ns rgmii1, internal delay enabled, 10/100 mbps 1.2 ns 6 t oh(txc-txd) output hold time, transmit selected signals valid after rgmiin_txc high/low rgmii0, internal delay enabled, 1000 mbps ns rgmii0, internal delay enabled, 10/100 mbps 1.2 ns rgmii1, internal delay enabled, 1000 mbps ns rgmii1, internal delay enabled, 10/100 mbps 1.2 ns
170 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated (1) for rgmii, transmit selected signals include: rgmiin_txd[3:0] and rgmiin_txctl. (2) rgmii0 1000mbps operation is not supported. (3) rgmii1 1000mbps operation is not supported. a. txc is delayed internally before being driven to the rgmiin_txc pin. this internal delay is always enabled. b. data and control information is transmitted using both edges of the clocks. rgmiin_txd[3:0] carries data bits 3-0 on the rising edge of rgmiin_txc and data bits 7-4 on the falling edge of rgmiin_txc. similarly, rgmiin_txctl carries txen on rising edge of rgmiin_txc and txerr of falling edge of rgmiin_txc. figure 7-38. gmac transmit interface timing rgmiin operation 7.18 sdio controller mmc interface is compliant with the sdio3.0 standard v1.0, sd part e1 and for generic sdio devices, it supports the following applications: ? mmc 4-bit data, sd default speed, sdr ? mmc 4-bit data, sd high speed, sdr ? mmc 4-bit data, uhs-i sdr12 (sd standard v3.01), 4-bit data, sdr, half cycle ? mmc 4-bit data, uhs-i sdr25 (sd standard v3.01), 4-bit data, sdr, half cycle note for more information, see the sdio controller chapter of the device trm. 7.18.1 mmc, sd default speed figure 7-39 , figure 7-40 , and table 7-43 through table 7-44 present timing requirements and switching characteristics for mmc - sd and sdio default speed in receiver and transmiter mode. table 7-43. timing requirements for mmc - default speed mode no. parameter description min max unit ds5 t su(cmdv-clkh) setup time, mmc_cmd valid before mmc_clk rising clock edge 5.11 ns ds6 t h(clkh-cmdv) hold time, mmc_cmd valid after mmc_clk rising clock edge 20.46 ns ds7 t su(dv-clkh) setup time, mmc_dat[i:0] valid before mmc_clk rising clock edge 5.11 ns ds8 t h(clkh-dv) hold time, mmc_dat[i:0] valid after mmc_clk rising clock edge 20.46 ns (1) i in [i:0] = 3 table 7-44. switching characteristics for mmc - sd/sdio default speed mode no. parameter description min max unit ds0 fop(clk) operating frequency, mmc_clk 24 mhz ds1 t w(clkh) pulse duration, mmc_clk high 0.5 p- 0.270 ns rgmii _txc n (a) rgmii _txd n [3:0] (b) rgmii _txctl n (b) 5 1st half-byte txerr txen 2nd half-byte 4 4 2 3 1 6 [internal delay enabled] sprs91v_gmac_09
171 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-44. switching characteristics for mmc - sd/sdio default speed mode (continued) no. parameter description min max unit ds2 t w(clkl) pulse duration, mmc_clk low 0.5 p- 0.270 ns ds3 t d(clkl-cmdv) delay time, mmc_clk falling clock edge to mmc_cmd transition -14.93 14.93 ns ds4 t d(clkl-dv) delay time, mmc_clk falling clock edge to mmc_dat[i:0] transition -14.93 14.93 ns (1) p = output mmc_clk period in ns (2) i in [i:0] = 3 figure 7-39. mmc/sd/sdioj in - default speed - receiver mode figure 7-40. mmc/sd/sdioj in - default speed - transmiter mode 7.18.2 mmc, sd high speed figure 7-41 , figure 7-42 , and table 7-45 through table 7-46 present timing requirements and switching characteristics for mmc - sd and sdio high speed in receiver and transmiter mode. table 7-45. timing requirements for mmc - sd/sdio high speed mode no. parameter description min max unit hs3 t su(cmdv-clkh) setup time, mmc_cmd valid before mmc_clk rising clock edge 5.3 ns hs4 t h(clkh-cmdv) hold time, mmc_cmd valid after mmc_clk rising clock edge 2.6 ns hs7 t su(dv-clkh) setup time, mmc_dat[i:0] valid before mmc_clk rising clock edge 5.3 ns hs8 t h(clkh-dv) hold time, mmc_dat[i:0] valid after mmc_clk rising clock edge 2.6 ns ds2 ds1 ds0 ds3 ds4 mmc_clk mmc_cmd mmc_dat[3:0] sprs91v_mmc_02 ds2 ds1 ds0 ds6 ds5 ds8 ds7 mmc_clk mmc_cmd mmc_dat[3:0] sprs91v_mmc_01
172 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated (1) i in [i:0] = 3 table 7-46. switching characteristics for mmc - sd/sdio high speed mode no. parameter description min max unit hs1 fop(clk) operating frequency, mmc_clk 48 mhz hs2h t w(clkh) pulse duration, mmc_clk high 0.5 p- 0.270 ns hs2l t w(clkl) pulse duration, mmc_clk low 0.5 p- 0.270 ns hs5 t d(clkl-cmdv) delay time, mmc_clk falling clock edge to mmc_cmd transition -7.6 3.6 ns hs6 t d(clkl-dv) delay time, mmc_clk falling clock edge to mmc_dat[i:0] transition -7.6 3.6 ns (1) p = output mmc_clk period in ns (2) i in [i:0] = 3 figure 7-41. mmc/sd/sdioj in - high speed - receiver mode figure 7-42. mmc/sd/sdioj in - high speed - transmiter mode 7.18.3 mmc, sd and sdio sdr12 mode figure 7-43 , figure 7-44 , and table 7-47 , through table 7-48 present timing requirements and switching characteristics for mmc - sd and sdio sdr12 in receiver and transmiter mode. table 7-47. timing requirements for mmc - sdr12 mode no. parameter description min max unit sdr125 t su(cmdv-clkh) setup time, mmc_cmd valid before mmc_clk rising clock edge 25.99 ns sdr126 t h(clkh-cmdv) hold time, mmc_cmd valid after mmc_clk rising clock edge 1.6 ns sdr127 t su(dv-clkh) setup time, mmc_dat[i:0] valid before mmc_clk rising clock edge 25.99 ns sdr128 t h(clkh-dv) hold time, mmc_dat[i:0] valid after mmc_clk rising clock edge 1.6 ns mmc_clk mmc_cmd mmc_dat[3:0] hs1 hs2l hs2h hs5 hs6 hs5 hs6 sprs91v_mmc_04 mmc_clk mmc_cmd mmc_dat[3:0] hs1 hs2l hs2h hs3 hs4 hs7 hs8 sprs91v_mmc_03
173 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated (1) i in [i:0] = 3 table 7-48. switching characteristics for mmc - sdr12 mode no. parameter description min max unit sdr120 fop(clk) operating frequency, mmc_clk 24 mhz sdr121 t w(clkh) pulse duration, mmc_clk high 0.5 p- 0.270 ns sdr122 t w(clkl) pulse duration, mmc_clk low 0.5 p- 0.270 ns sdr123 t d(clkl-cmdv) delay time, mmc_clk falling clock edge to mmc_cmd transition -19.13 16.93 ns sdr124 t d(clkl-dv) delay time, mmc_clk falling clock edge to mmc_dat[i:0] transition -19.13 16.93 ns (1) p = output mmc_clk period in ns (2) i in [i:0] = 3 figure 7-43. mmc/sd/sdioj in - sdr12 - receiver mode figure 7-44. mmc/sd/sdioj in - sdr12 - transmiter mode 7.18.4 mmc, sd sdr25 mode figure 7-45 , figure 7-46 , and table 7-49 , through table 7-50 present timing requirements and switching characteristics for mmc - sd and sdio sdr25 in receiver and transmiter mode. table 7-49. timing requirements for mmc - sdr25 mode (1) no. parameter description min max unit sdr253 t su(cmdv-clkh) setup time, mmc_cmd valid before mmc_clk rising clock edge 5.3 ns sdr254 t h(clkh-cmdv) hold time, mmc_cmd valid after mmc_clk rising clock edge 1.6 ns sdr257 t su(dv-clkh) setup time, mmc_dat[i:0] valid before mmc_clk rising clock edge 5.3 ns sdr258 t h(clkh-dv) hold time, mmc_dat[i:0] valid after mmc_clk rising clock edge 1.6 ns sdr122 sdr121 sdr120 sdr123 sdr124 mmc_clk mmc_cmd mmc_dat[3:0] sprs91v_mmc_06 sdr122 sdr121 sdr120 sdr126 sdr125 sdr128 sdr127 mmc_clk mmc_cmd mmc_dat[3:0] sprs91v_mmc_05
174 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated (1) i in [i:0] = 3 table 7-50. switching characteristics for mmc - sdr25 mode (2) no. parameter description min max unit sdr251 fop(clk) operating frequency, mmc_clk 48 mhz sdr252 h t w(clkh) pulse duration, mmc_clk high 0.5 p- 0.270 ns sdr252l t w(clkl) pulse duration, mmc_clk low 0.5 p- 0.270 ns sdr255 t d(clkl-cmdv) delay time, mmc_clk falling clock edge to mmc_cmd transition -8.8 6.6 ns sdr256 t d(clkl-dv) delay time, mmc_clk falling clock edge to mmc_dat[i:0] transition -8.8 6.6 ns (1) p = output mmc_clk period in ns (2) i in [i:0] = 3 figure 7-45. mmc/sd/sdioj in - sdr25 - receiver mode figure 7-46. mmc/sd/sdioj in - sdr25 - transmiter mode caution the io timings provided in this section are only valid if signals within a single ioset are used. the iosets are defined in table 7-51 . in table 7-51 are presented the specific groupings of signals (ioset) for use with mmc. table 7-51. mmc iosets signals ioset1 ioset2 ioset3 ball mux ball mux ball mux mmc_clk c16 5 w16 5 b18 5 mmc_cmd c17 5 v16 5 c18 5 mmc_dat0 e16 5 u15 5 a19 5 mmc_dat1 d16 5 v15 5 b20 5 mmc_clk mmc_cmd mmc_dat[3:0] sdr251 sdr252l sdr252h sdr255 sdr256 sdr255 sdr256 sprs91v_mmc_08 mmc_clk mmc_cmd mmc_dat[3:0] sdr254 sdr258 sdr253 sdr257 sdr251 sdr252h sdr252l sprs91v_mmc_07
175 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-51. mmc iosets (continued) signals ioset1 ioset2 ioset3 ball mux ball mux ball mux mmc_dat2 e17 5 y15 5 c20 5 mmc_dat3 f17 5 w15 5 a20 5 7.19 general-purpose interface (gpio) the general-purpose interface combines four general-purpose input/output (gpio) banks. each gpio module provides up to 32 dedicated general-purpose pins with input and output capabilities; thus, the general-purpose interface supports up to 126 pins. these pins can be configured for the following applications: ? data input (capture)/output (drive) ? keyboard interface with a debounce cell ? interrupt generation in active mode upon the detection of external events. detected events are processed by two parallel independent interrupt-generation submodules to support biprocessor operations ? wake-up request generation in idle mode upon the detection of external events note for more information, see the general-purpose interface chapter of the device trm. note the general-purpose input/output i (i = 1 to 4) bank is also referred to as gpioi. caution the io timings provided in this section are only valid if signals within a single ioset are used. the iosets are defined in table 7-52 . in table 7-52 are presented the specific groupings of signals (ioset) for use with gpio. table 7-52. gpio2/3/4 iosets signals ioset1 ioset2 ball mux ball mux gpio2 gpio2_11 j17 14 gpio2_12 k22 14 gpio2_13 k21 14 gpio2_14 k18 14 gpio2_20 ab17 14 gpio2_23 aa17 14 aa17 14 gpio2_24 u16 14 u16 14 gpio2_27 u15 14 gpio2_28 v15 14 gpio2_29 y15 14 gpio2_30 w15 14 gpio2_31 aa15 14
176 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated table 7-52. gpio2/3/4 iosets (continued) signals ioset1 ioset2 ball mux ball mux gpio3 gpio3_0 ab15 14 gpio3_9 u9 14 u9 14 gpio3_10 w11 14 w11 14 gpio3_11 v9 14 v9 14 gpio3_12 w9 14 w9 14 gpio3_13 u8 14 u8 14 gpio3_14 w8 14 w8 14 gpio3_15 u7 14 gpio3_16 v7 14 gpio4 gpio4_4 r5 14 gpio4_6 n4 14 gpio4_7 r7 14 gpio4_8 l2 14 gpio4_9 n5 14 gpio4_10 n6 14 7.20 test interfaces the device includes the following test interfaces: ? ieee 1149.1 standard-test-access port (jtag) ? trace port interface unit (tpiu) 7.20.1 jtag electrical data/timing table 7-53 , table 7-54 and figure 7-47 assume testing over the recommended operating conditions and electrical characteristic conditions below. table 7-53. timing requirements for ieee 1149.1 jtag no. parameter description min max unit 1 t c(tck) cycle time, tck 62.29 ns 1a t w(tckh) pulse duration, tck high (40% of tc) 24.92 ns 1b t w(tckl) pulse duration, tck low (40% of tc) 24.92 ns 3 t su(tdi-tck) input setup time, tdi valid to tck high 6.23 ns t su(tms-tck) input setup time, tms valid to tck high 6.23 ns 4 t h(tck-tdi) input hold time, tdi valid from tck high 31.15 ns t h(tck-tms) input hold time, tms valid from tck high 31.15 ns table 7-54. switching characteristics over recommended operating conditions for ieee 1149.1 jtag no. parameter description min max unit 2 t d(tckl-tdov) delay time, tck low to tdo valid 0 30.5 ns
177 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated figure 7-47. jtag timing table 7-55 , table 7-56 and figure 7-48 assume testing over the recommended operating conditions and electrical characteristic conditions below. table 7-55. timing requirements for ieee 1149.1 jtag with rtck no. parameter description min max unit 1 t c(tck) cycle time, tck 62.29 ns 1a t w(tckh) pulse duration, tck high (40% of tc) 24.92 ns 1b t w(tckl) pulse duration, tck low(40% of tc) 24.92 ns 3 t su(tdi-tck) input setup time, tdi valid to tck high 6.23 ns t su(tms-tck) input setup time, tms valid to tck high 6.23 ns 4 t h(tck-tdi) input hold time, tdi valid from tck high 31.15 ns t h(tck-tms) input hold time, tms valid from tck high 31.15 ns table 7-56. switching characteristics over recommended operating conditions for ieee 1149.1 jtag with rtck no. parameter description min max unit 5 t d(tck-rtck) delay time, tck to rtck with no selected subpaths (i.e. icepick is the only tap selected - when the arm is in the scan chain, the delay time is a function of the arm functional clock). 0 27 ns 6 t c(rtck) cycle time, rtck 62.29 ns 7 t w(rtckh) pulse duration, rtck high (40% of tc) 24.92 ns 8 t w(rtckl) pulse duration, rtck low (40% of tc) 24.92 ns figure 7-48. jtag with rtck timing 5 6 8 7 tck rtck sprs91v_jtag_02 3 tck tdo tdi/tms 2 4 1 1a 1b sprs91v_jtag_01
178 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 timing requirements and switching characteristics copyright ? 2016 ? 2018, texas instruments incorporated 7.20.2 trace port interface unit (tpiu) 7.20.2.1 tpiu pll ddr mode table 7-57 and figure 7-49 assume testing over the recommended operating conditions and electrical characteristic conditions below. table 7-57. switching characteristics for tpiu no. parameter description min max unit tpiu1 t c(clk) cycle time, traceclk period 5.56 ns tpiu4 t d(clk-ctlv) skew time, traceclk transition to tracectl transition -1.61 1.98 ns tpiu5 t d(clk-datav) skew time, traceclk transition to tracedata[17:0] transition -1.61 1.98 ns (1) p = traceclk period in ns (2) the listed pulse duration is a typical value figure 7-49. tpiu ? pll ddr transmit mode (1) (1) in d[x:0], x is equal to 15 or 17. traceclk tracectl tracedata[x:0] tpiu4 tpiu4 tpiu2 tpiu1 tpiu3 tpiu5 tpiu5 sprs91v_tpiu_01
179 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8 applications, implementation, and layout note information in the following applications sections is not part of the ti component specification, and ti does not warrant its accuracy or completeness. ti ? s customers are responsible for determining suitability of components for their purposes. customers should validate and test design implementation to confirm system functionality. 8.1 introduction this chapter is intended to communicate, guide and illustrate a pcb design strategy resulting in a pcb that can support ti ? s latest application processor. this processor is a high-performance processor designed for automotive infotainment based on enhanced omap ? architecture integrated on a 28-nm cmos process technology. these guidelines first focus on designing a robust power delivery network (pdn) which is essential to achieve the desirable high performance processing available on device. the general principles and step- by-step approach for implementing good power integrity (pi) with specific requirements will be described for the key device power domains. ti strongly believes that simulating a pcb ? s proposed pdn is required for first pass pcb design success. key device processor high-current power domains need to be evaluated for power rail ir drop, decoupling capacitor loop-inductance and power rail target impedance. only then can a pcb ? s pdn performance be truly accessed by comparing these model pi parameters vs. ti ? s recommended values. ultimately for any high-volume product, ti recommends conducting a "processor pdn validation" test on prototype pcbs across processor "split lots" to verify pdn robustness meets desired performance goals for each customer ? s worst-case scenario. please contact your ti representative to receive guidance on pdn pi modeling and validation testing. likewise, the methodology and requirements needed to route device high-speed, differential interfaces , single-ended interfaces (i.e. ddr3, qspi) and general purpose interfaces using lvcmos drivers that meet timing requirements while minimizing signal integrity (si) distortions on the pcb ? s signaling traces. signal trace lengths and flight times are aligned with fr-4 standard specification for pcbs. several different pcb layout stack-up examples have been presented to illustrate a typical number of layers, signal assignments and controlled impedance requirements. different device interface signals demand more or less complexity for routing and controlled impedance stack-ups. optimizing the pcb ? s pdn stack-up needs with all of these different types of signal interfaces will ultimately determine the final layer count and layer assignments in each customer ? s pcb design. this guideline must be used as a supplement in complement to ti ? s application processor, power management ic (pmic) and audio companion components along with other ti component technical documentation (i.e. technical reference manual, data manual, data sheets, silicon errata, pin-out spreadsheet, application notes, etc.). note notwithstanding any provision to the contrary, ti makes no warranty expressed, implied, or statutory, including any implied warranty of merchantability of fitness for a specific purpose, for customer boards. the data described in this appendix are intended as guidelines only. note these pcb guidelines are in a draft maturity and consequently, are subject to change depending on design verification testing conducted during ic development and validation. note also that any references to application processor ? s ballout or pin muxing are subject to change following the processor ? s ballout maturity.
180 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.1.1 initial requirements and guidelines unless otherwise specified, the characteristic impedance for single-ended interfaces is recommended to be between 35 and 65 to minimize the overshoot or undershoot on far-end loads. characteristic impedance for differential interfaces must be routed as differential traces on the same layer. the trace width and spacing must be chosen to yield the recommended differential impedance. for more information see section 8.5.1 . the pdn must be optimized for low trace resistance and low trace inductance for all high-current power nets from pmic to the device. an external interface using a connector must be protected following the iec61000-4-2 level 4 system esd. 8.2 power optimizations this section describes the necessary steps for designing a robust power distribution network (pdn): ? section 8.2.1 , step 1: pcb stack-up ? section 8.2.2 , step 2: physical placement ? section 8.2.3 , step 3: static analysis ? section 8.2.4 , step 4: frequency analysis 8.2.1 step 1: pcb stack-up the pcb stack-up (layer assignment) is an important factor in determining the optimal performance of the power distribution system. an optimized pcb stack-up for higher power integrity performance can be achieved by following these recommendations: ? power and ground plane pairs must be closely coupled together. the capacitance formed between the planes can decouple the power supply at high frequencies. whenever possible, the power and ground planes must be solid to provide continuous return path for return current. ? use a thin dielectric between the power and ground plane pair. capacitance is inversely proportional to the separation of the plane pair. minimizing the separation distance (the dielectric thickness) maximizes the capacitance. ? optimize the power and ground plane pair carrying high current supplies to key component power domains as close as possible to the same surface where these components are placed (see figure 8- 1 ). this will help to minimize "loop inductance" encountered between supply decoupling capacitors and component supply inputs and between power and ground plane pairs. note 1-2oz cu weight for power / ground plane is preferred to enable better pcb heat spreading, helping to reduce processor junction temperatures. in addition, it is preferable to have the power / ground planes be adjacent to the pcb surface on which the processor is mounted.
181 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-1. minimize loop inductance with proper layer assignment the placement of power and ground planes in the pcb stackup (determined by layer assignment) has a significant impact on the parasitic inductances of power current path as shown in figure 8-1 . for this reason, it is recommended to consider layer order in the early stages of the pcb pdn design cycle, putting high-priority supplies in the top half of the stackup (assuming high load and priority components are mounted on the top-side of pcb) and low-priority supplies in the bottom half of the stackup as shown in the examples below (vias have parasitic inductances which impact the bottom layers more, so it is advised to put the sensitive and high-priority power supplies on the top/same layers). 8.2.2 step 2: physical placement a critical step in designing an optimized pdn is that proper care must be taken to making sure that the initial floor planning of the pcb layout is done with good power integrity design guidelines in mind. the following points are important for optimizing a pcb ? s pdn: ? minimizing the physical distance between power sources and key high load components is the first step toward optimization. placing source and load components on the same side of the pcb is desirable. this will minimize via inductance impact for high current loads and steps ? external trace routing between components must be as wide as possible. the wider the traces, the lower the dc resistance and consequently the lower the static ir drop. ? whenever possible for the internal layers (routing and plane), wide traces and copper area fills are preferred for pdn layout. the routing of power nets in plane provide for more interplane capacitance and improved high frequency performance of the pdn. ? whenever possible, use a via to component pin/pad ratio of 1:1 or better (i.e. especially decoupling capacitors, power inductors and current sensing resistors). do not share vias among multiple capacitors for connecting power supply and ground planes. ? placement of vias must be as close as possible or even within a component ? s solder pad if the pcb technology you are using provides this capability. ? to avoid any "ampacity ? issue ? maximum current-carrying capacity of each transitional via should be evaluated to determine the appropriate number of vias required to connect components. adding vias to bring the "via-to-pad ? ratio to 1:1 will improve pdn performance. ? for noise sensitive power supplies (i.e. phase lock-loops, analog signals like audio and video), a gnd shield can be used to isolate coplanar supplies that may have high step currents or high frequency switching transitions from coupling into low-noise supplies. package die trace capacitor via note: 1. bga via pair loop inductance 2. power/ground net spreading inductance3. capacitor trace inductance loop inductance 1 2 3 power/ground ground/power sprs91v_pcb_stackup_01
182 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-2. coplanar shielding of power net using ground guard-band 8.2.3 step 3: static analysis delivering reliable power to circuits is always of critical importance because voltage drops (also known as ir drops) can happen at every level within an electronic system, on-chip, within a package, and across the board. robust system performance can only be ensured by understanding how the system elements will perform under typical stressful use cases. therefore, it is a good practice to perform a static or dc analysis. static or dc analysis and design methodology results in a pdn design that minimizes voltage or ir drops across power and ground planes, traces and vias. this ensures the application processor ? s internal transistors will be operating within their specified voltage ranges for proper functionality. the amount of ir drop that will be encounter is based upon amount power drawn for a desired use case and pcb trace (widths, geometry and number of parallel traces) and via (size, type and number) characteristics. components that are distant from their power source are particularly susceptible to ir drop. designs that rely on battery power must minimize voltage drops to avoid unacceptable power loss that can negatively impact system performance. early assessments a pdn ? s static (dc) performance helps to determine basic power distribution parameters such as best system input power point, optimal pcb layer stackup, and copper area needed for load currents. figure 8-3. depiction of sheet resistivity and resistance ohm ? s law (v = i r) relates conduction current to voltage drop. at dc, the relation coefficient is a constant and represents the resistance of the conductor. even current carrying conductors will dissipate power at high currents even though their resistance may be very small. both voltage drop and power dissipation are proportional to the resistance of the conductor. figure 8-4 shows a pcb-level static ir drop budget defined between the power management device (pmic) pins and the application processor ? s balls when the pmic is supplying power. ? it is highly recommended to physically place the pmic as close as possible to the processor and on the same side. the orientation of the pmic vs. the processor should be aligned to minimize distance for the highest current rail. l w t the resistance rs of a plane conductorfor a unit length and unit width is called the (ohms per square). surface resistivity 1 rs = = t l r = rs w r t sprs91v_pcb_static_01 vdd vdd_mpu vss sprs91v_pcb_phys_05
183 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-4. static ir drop budget for pcb only the system-level ir drop budget is made up of three portions: on-chip, package, and pcb board. static ir or dc analysis/design methodology consists of designing the pdn such that the voltage drop (under dc operating conditions) across power and ground pads of the transistors of the application processor device is within a specified value of the nominal voltage for proper functionality of the device. a pcb system-level voltage drop budget for proper device functionality is typically 1.5% of nominal voltage. for a 1.35-v supply, this would be 20 mv. to accurately analyze pcb static ir drop, the actual geometry of the pdn must be modeled properly and simulated to accurately characterize long distribution paths, copper weight impacts, electro-migration violations of current-carrying vias, and "swiss-cheese ? effects via placement has on power rails. it is recommended to perform the following analyses: ? lumped resistance/ir drop analysis ? distributed resistance/ir drop analysis note the pmic companion device supporting processor has been designed with voltage sensing feedback loop capabilities that enable a remote sense of the smps output voltage at the point of use. the note above means the smps feedback signals and returns must be routed across pcb and connected to the device input power ball for which a particular smps is supplying power. this feedback loop provides compensation for some of the voltage drop encountered across the pdn within limits. as such, the effective resistance of the pdn within this loop should be determined in order to optimize voltage compensation loop performance. the resistance of two pdn segments are of interest: one from the power inductor/bulk power filtering capacitor node to the processor ? s input power and second is the entire pdn route from smps output pin/ball to the processor input power. in the following sections each methodology is described in detail and an example has been provided of analysis flow that can be used by the pcb designer to validate compliance to the requirements on their pcb pdn design. 8.2.3.1 pdn resistance and ir drop lumped methodology consists of grouping all of the power pins on both the pmic (voltage source) and processor (current sink) devices. then the pmic source is set to an expected use case voltage level and the processor load has its use case current sink value set as well. now the lumped/effective resistance for the power rail trace/plane routes can be determine based upon the actual layout ? s power rail etch wide, shape, length, via count and placement figure 8-5 illustrates the pin-grouping/lumped concept. the lumped methodology consists of importing the pcb layout database (from cadence allegro tool or any other layout design tool) into the static ir drop modeling and simulation tool of preference for the pcb designer. this is followed by applying the correct pcb stack-up information (thickness, material properties) of the pcb dielectric and metallization layers. the material properties of dielectric consist of permittivity (dk) and loss tangent (df). l w t the resistance rs of a plane conductorfor a unit length and unit width is called the (ohms per square). surface resistivity 1 rs = = t l r = rs w r t sprs91v_pcb_static_01
184 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated for the conductor layers, the correct conductivity needs to be programmed into the simulation tool. this is followed by pin-grouping of the power and ground nets, and applying appropriate voltage/current sources. the current and voltage information can be obtained from the power and voltage specifications of the device under different operating conditions / use cases. figure 8-5. pin-grouping concept: lumped and distributed methodologies 8.2.4 step 4: frequency analysis delivering low noise voltage sources are very important to allowing a system to operate at the lowest possible operational performance point (opp) for any one use case. an opp is a combination of the supply voltage level and clocking rate for key internal processor domains. a sch and pcb designed to provide low noise voltage supplies will then enable the processor to enter optimal opps for each use case that in turn will minimize power dissipation and junction temperatures on-die. therefore, it is a good engineering practice to perform a frequency analysis over the key power domains. frequency analysis and design methodology results in a pdn design that minimizes transient noise voltages at the processor ? s input power balls. this allows the processor ? s internal transistors to operate near the minimum specified operating supply voltage levels. to accomplish this one must evaluate how a voltage supply will change due to impedance variations over frequency. this analysis will focus on the decoupling capacitor network (vdd_xxx and vss/gnd rails) at the load. sufficient capacitance with a distribution of self-resonant points will provide for an overall lower impedance vs frequency response for each power domain. decoupling components that are distant from their load ? s input power are susceptible to encountering spreading loop inductance from the pcb design. early analysis of each key power domain ? s frequency response helps to determine basic decoupling capacitor placement, optimal footprint, layer assignment, and types needed for minimizing supply voltage noise/fluctuations due to switching and load current transients. note evaluation of loop inductance values for decoupling capacitors placed ~300mils closer to the load ? s input power balls has shown an 18% reduction in loop inductance due to reduced distance. ? decoupling capacitors must be carefully placed in order to minimize loop inductance impact on supply voltage transients. a real capacitor has characteristics not only of capacitance but also inductance and resistance. figure 8-6 shows the parasitic model of a real capacitor. a real capacitor must be treated as an rlc circuit with effective series resistance (esr) and effective series inductance (esl). figure 8-6. characteristics of a real capacitor with esl and esr the magnitude of the impedance of this series model is given as: grouped power/ground pins to create 1 equivalent resistive branch multiport net branch port/pin sources sinks sources sinks sprs91v_pcb_pdn_01 c esl esr sprs91v_pcb_freq_01
185 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-7. series model impedance equation figure 8-8 shows the resonant frequency response of a typical capacitor with a self-resonant frequency of 55 mhz. the impedance of the capacitor is a combination of its series resistance and reactive capacitance and inductance as shown in the equation above. figure 8-8. typical impedance profile of a capacitor because a capacitor has series inductance and resistance that impacts its effectiveness, it is important that the following recommendations are adopted in placing capacitors on the pdn. wherever possible, mount the capacitor with the geometry that minimizes the mounting inductance and resistance. this was shown earlier in figure 8-1 . the capacitor mounting inductance and resistance values include the inductance and resistance of the pads, trace, and vias. whenever possible, use footprints that have the lowest inductance configuration as shown in figure 8-9 the length of a trace used to connect a capacitor has a big impact on parasitic inductance and resistance of the mounting. this trace must be as short and as wide as possible. wherever possible, minimize distance to supply and gnd vias by locating vias nearby or within the capacitor ? s solder pad landing. further improvements can be made to the mounting by placing vias to the side of capacitor lands or doubling the number of vias as shown in figure 8-9 . if the pcb manufacturing processes allow it and if cost-effective, via-in-pad (vip) geometries are strongly recommended. = where : ? ? - ? ? w = 2 2 1 z esr +esl c 2 ? ? - ? ? 2 1 esl c sprs91v_pcb_freq_02 | sprs91v_pcb_freq_03 1.0e+011.0e+00 1.0eC01 1.0eC02 1.0eC03 1.0eC04 1.00eC002 1.00e+000 1.00e+002 frequency (mhz) 1.00e+004 1.00e+006 1.00e+008 s-parameter magnitude job: gcm155r71e153ka55_15nf; x =1/ c c x = l l resonant frequency(55 mhz) (minimum)
186 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated in addition to mounting inductance and resistance associated with placing a capacitor on the pcb, the effectiveness of a decoupling capacitor also depends on the spreading inductance and resistance that the capacitor sees with respect to the load. the spreading inductance and resistance is strongly dependent on the layer assignment in the pcb stack-up. therefore, try to minimize x, y and z dimensions where the z is due to pcb thickness (as shown in figure 8-9 ). from left (highest inductance) to right (lowest inductance) the capacitor footprint types shown in figure 8- 9 are known as: ? 2-via, skinny end exit (2vsee) ? 2-via, wide end exit (2vwee) ? 2-via, wide side exit (2vwse) ? 4-via, wide side exit (4vwse) ? 2-via, in-pad (2vip) figure 8-9. capacitor placement geometry for improved mounting inductance note evaluation of loop inductance values for decoupling capacitor footprints 2vsee (worst case) vs 4vwse (2nd best) has shown a 30% reduction in inductance when 4vwse footprint was used in place of 2vsee. decoupling capacitor (dcap) strategy: 1. use lowest inductance footprint and trace connection scheme possible for given pcb technology and layout area in order to minimize dcap loop inductance to power pin as much as possible (see figure 8- 9 ). 2. place dcaps on "same-side ? as component within their power plane outline to minimize "decoupling loop inductance ? . target distance to power pin should be less than ~500mils depending upon pcb layout characteristics (plane's layer assignment and solid nature). use pi modeling cad tool to verify minimum inductance for top vs bottom-side placement. 3. place dcaps on "opposite-side ? as component within their power plane outline if "same-side ? is not feasible or if distance to power pin is greater than ~500mils for top-side location. use pi modeling cad tool to verify minimum inductance for top vs bottom-side placement. 4. use minimum 10mil trace width for all voltage and gnd planes connections (i.e. dcap pads, component power pins, etc.). trace pad via mounting geometry for reduced inductance via-in-pad sprs91v_pcb_freq_04
187 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 5. place all voltage and gnd plane vias "as close as possible ? to point of use (i.e. dcap pads, component power pins, etc.). 6. use a "power/gnd pad/pin to via ? ratio of 1:1 whenever possible. do not exceed 2:1 ratio for small number of vias within restricted pcb areas (i.e. underneath bga components). frequency analysis for the core power domain (vdd) has yielded the impedance vs frequency responses shown in section 8.3.8.2 , vdd example analysis. 8.2.5 system esd generic guidelines 8.2.5.1 system esd generic pcb guideline protection devices must be placed close to the esd source which means close to the connector. this allows the device to subtract the energy associated with an esd strike before it reaches the internal circuitry of the application board. to help minimize the residual voltage pulse that will be built-up at the protection device due to its nonzero turn-on impedance, it is mandatory to route the esd device with minimum stub length so that the low- resistive, low-inductive path from the signal to the ground is granted and not increasing the impedance between signal and ground. for esd protection array being railed to a power supply when no decoupling capacitor is available in close vicinity, consider using a decoupling capacitor ( 0.1 f) tight to the vcc pin of the esd protection. a positive strike will be partially diverted to this capacitance resulting in a lower residual voltage pulse. ensure that there is sufficient metallization for the supply of signals at the interconnect side (vcc and gnd in figure 8-10 ) from connector to external protection because the interconnect may see between 15- a to 30-a current in a short period of time during the esd event. figure 8-10. placement recommendation for an esd external protection minimize such inductance by optimizing layout keep distance between protected circuit and external protection keep protection closed by connector external external protection stub inductance ground inductance signal esd strike vcc connector stub inductance stub inductance bypass capacitor 0.1 f (minimum) m interconnection inductance vcc vcc signal protected circuit sprs91v_pcb_esd_01
188 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated note to ensure normal behavior of the esd protection (unwanted leakage), it is better to ground the esd protection to the board ground rather than any local ground (example isolated shield or audio ground). 8.2.5.2 miscellaneous emc guidelines to mitigate esd immunity ? avoid running critical signal traces (clocks, resets, interrupts, control signals, and so forth) near pcb edges. ? add high frequency filtering: decoupling capacitors close to the receivers rather than close to the drivers to minimize esd coupling. ? put a ground (guard) ring around the entire periphery of the pcb to act as a lightning rod. ? connect the guard ring to the pcb ground plane to provide a low impedance path for esd-coupled current on the ring. ? fill unused portions of the pcb with ground plane. ? minimize circuit loops between power and ground by using multilayer pcb with dedicated power and ground planes. ? shield long line length (strip lines) to minimize radiated esd. ? avoid running traces over split ground planes. it is better to use a bridge connecting the two planes in one area. figure 8-11. trace examples ? always route signal traces and their associated ground returns as close to one another as possible to minimize the loop area enclosed by current flow: ? at high frequencies current follows the path of least inductance. ? at low frequencies current flows through the path of least resistance. 8.2.5.3 esd protection system design consideration esd protection system design consideration is covered in of this document. the following are additional considerations for esd protection in a system. ? metallic shielding for both esd and emi ? chassis gnd isolation from the board gnd ? air gap designed on board to absorb esd energy ? clamping diodes to absorb esd energy ? capacitors to divert esd energy ? the use of external esd components on the dp/dm lines may affect signal quality and are not recommended. bad better sprs91v_pcb_emc_01
189 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.2.6 emi / emc issues prevention all high-speed digital integrated circuits can be sources of unwanted radiation, which can affect nearby sensitive circuitry and cause the final product to have radiated emissions levels above the limits allowed by the emc regulations if some preventative steps are not taken. likewise, analog and digital circuits can be susceptible to interference from the outside world and picked up by the circuitry interconnections. to minimize the potential for emi/emc issues, the following guidelines are recommended to be followed. 8.2.6.1 signal bandwidth to evaluate the frequency of a digital signal, an estimated rule of thumb is to consider its bandwidth f bw with respect to its rise time, t r : f bw 0.35 / t r this frequency actually corresponds to the break point in the signal spectrum, where the harmonics start to decay at 40 db per decade instead of 20 db per decade. 8.2.6.2 signal routing 8.2.6.2.1 signal routing ? sensitive signals and shielding keep radio frequency (rf) sensitive circuitry (like gps receivers, gsm/wcdma, bluetooth/wlan transceivers, frequency modulation (fm) radio) away from high-speed ics (the device, power and audio manager, chargers, memories, and so forth) and ideally on the opposite side of the pcb. for improved protection it is recommended to place these emission sources in a shield can. if the shield can have a removable lid (two-piece shield), ensure there is low contact impedance between the fence and the lid. leave some space between the lid and the components under it to limit the high-frequency currents induced in the lid. limit the shield size to put any potential shield resonances above the frequencies of interest; see figure 8-8 , typical impedance profile of a capacitor . 8.2.6.2.2 signal routing ? outer layer routing in case there is a need to use the outer layers for routing outside of shielded areas, it is recommended to route only static signals and ensure that these static signals do not carry any high-frequency components (due to parasitic coupling with other signals). in case of long traces, make provision for a bypass capacitor near the signal source. routing of high-frequency clock signals on outer layers, even for a short distance, is discouraged, because their emissions energy is concentrated at the discrete harmonics and can become significant even with poor radiators. coplanar shielding of traces on outer layers (placing ground near the sides of a track along its length) is effective only if the distance between the trace sides and the ground is smaller that the trace height above the ground reference plane. for modern multilayer pcbs this is often not possible, so coplanar shielding will not be effective. do not route high-frequency traces near the periphery of the pcb, as the lack of a ground reference near the trace edges can increase emi: see section 8.2.6.3 , ground guidelines . 8.2.6.3 ground guidelines 8.2.6.3.1 pcb outer layers ideally the areas on the top and bottom layers of the pcb that are not enclosed by a shield should be filled with ground after the routing is completed and connected with an adequate number of vias to the ground on the inner ground planes.
190 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.2.6.3.2 metallic frames ensure that all metallic parts are well connected to the pcb ground (like lcd screens metallic frames, antennas reference planes, connector cages, flex cables grounds, and so forth). if using flex pcb ribbon cables to bring high-frequency signals off the pcb, ensure they are adequately shielded (coaxial cables or flex ribbons with a solid reference ground). 8.2.6.3.3 connectors for high-frequency signals going to connectors choose a fully shielded connector, if possible (for example, sd card connectors). for signals going to external connectors or which are routed over long distances, it is recommended to reduce their bandwidth by using low-pass filters (resistor, capacitor (rc) combinations or lossy ferrite inductors). these filters will help to prevent emissions from the board and can also improve the immunity from external disturbances. 8.2.6.3.4 guard ring on pcb edges the major advantage of a multilayer pcb with ground-plane is the ground return path below each and every signal or power trace. as shown in figure 8-12 the field lines of the signal return to pcb ground as long as an infinite ground is available. traces near the pcb-edges do not have this infinite ground and therefore may radiate more than the others. thus, signals (clocks) or power traces (core power) identified to be critical must not be routed in the vicinity of pcb edges, or, if not avoidable, must be accompanied by a guard ring on the pcb edge. figure 8-12. field lines of a signal above ground figure 8-13. guard ring routing the intention of the guard ring is that hf-energy, that otherwise would have been emitted from the pcb edge, is reflected back into the board where it partially will be absorbed. for this purpose ground traces on the borders of all layers (including power layer) must be applied as shown in figure 8-13 . as these traces must have the same (hf ? ) potential as the ground plane they must be connected to the ground plane at least every 10 mm. signal power ground signal sprs91v_pcb_emc_03 sprs91v_pcb_emc_02
191 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.2.6.3.5 analog and digital ground for the optimum solution, the agnd and the dgnd planes must be connected together at the power supply source in a same point. this ensures that both planes are at the same potential, while the transfer of noise from the digital to the analog domain is minimized. 8.3 core power domains this section provides boundary conditions and theoretical background to be applied as a guide for optimizing a pcb design. the decoupling capacitor and pdn characteristics tables shown below give recommended capacitors and pcb parameters to be followed for schematic and pcb designs. board designs that meet the static and dynamic pdn characteristics shown in tables below will be aligned to the expected pdn performance needed to optimize soc performance. 8.3.1 general constraints and theory ? max pcb static/dc voltage drop (ird) budget of 1.5% of supply voltage when using pmics without remote sensing as measured from pmic ? s power inductor and filter capacitor node to processor input including any ground return losses. ? max pcb static/dc voltage drop (ird) budget can be relaxed to 7.5% of supply voltage when using ti recommended pmics with remote sensing at the load as measured from pmic ? s power inductor and filter capacitor node to device ? s supply input including any ground return losses. ? pmic component dm and guidelines should be referenced for the following: ? routing remote feedback sensing to optimize per each smps ? s implementation ? selecting power filtering capacitor values and pcb placement. ? max total effective resistance (r eff ) budget can range from 4 ? 100m ? for key device power rails not including ground returns depending upon maximum load currents and maximum dc voltage drop budget (as discussed above). ? max device supply input voltage difference budget of 5mv under max current loading shall be maintained across all balls connected to a common power rail. this represents any voltage difference that may exist between a remote sense point to any power input. ? max pcb loop inductance (ll) budget between device ? s power inputs and local bulk and high frequency decoupling capacitors including ground returns should range from 0.4 ? 2.5nh depending upon maximum transient load currents. ? max pcb dynamic/ac peak-to-peak transient noise voltage budgets between pmic and device including ground returns are as follows: ? +/-3% of nominal supply voltage for frequencies below the pmic bandwidth (typ fpmic ~ 200khz) ? +/-5% of nominal supply voltage for frequencies between fpmic to fpcb (typ 20 ? 100mhz)
192 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated ? max pcb impedance (z) vs frequency (f) budget between device ? s power inputs and pmic ? s output power filter node including ground return is determined by applying the frequency domain target impedance method to determine the pcb ? s maximum frequency of interest (fpcb). ideally a properly designed and decoupled pdn will exhibit smoothly increasing z vs. f curve. there are 2 general regions of interest as can be seen in figure 8-14 . ? 1 st area is from dc (0hz) up to fpmic (typ a few 100 khz) where a pmic ? s transient response characteristic (i.e. switching freq, compensation loop bw) dominate. a pdn ? s z is typically very low due to power filtering and bulk capacitor values when pdn has very low trace resistance (i.e. good reff performance). the goal is to maintain a smoothly increasing z that is less than zt1 over this low frequency range. this will ensure that a max transient current event will not cause a voltage drop more than the pmic ? s current step response can support (typ 3%). ? 2 nd area is from fpmic up to fpcb (typ 20-100mhz) where a pcb ? s inherent characteristics (i.e. parasitic capacitance, planar spreading inductances) dominate. a pdn ? s z will naturally increase with frequency. at frequencies between fpmic up to fpcb, the goal is to maintain a smoothly increasing z to be less than zt2. this will ensue that the high frequency content of a max transient current event will not cause a voltage drop to be more than 5% of the min supply voltage. figure 8-14. pdn ? s target impedance 1.voltage rail drop includes regulation accuracy, voltage distribution drops, and all dynamic events such as transient noise, ac ripple, voltage dips etc.
193 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 2.typical max transient current is defined as 50% of max current draw possible. 8.3.2 voltage decoupling recommended power supply decoupling capacitors main characteristics for commercial products whose ambient temperature is not to exceed +85c are shown in table below: table 8-1. commercial applications recommended decoupling capacitors characteristics (1) (2) (3) value voltage [v] package stability dielectric capacitan ce tolerance temp range [ c] temp sensitivity [%] reference 22 f 6,3 0603 class 2 x5r - / + 20% -55 to + 85 - / + 15 grm188r60j226mea0l 10 f 4,0 0402 class 2 x5r - / + 20% -55 to + 85 - / + 15 grm155r60g106me44 4.7 f 6,3 0402 class 2 x5r - / + 20% -55 to + 85 - / + 15 grm155r60j475me95 2.2 f 6,3 0402 class 2 x5r - / + 20% -55 to + 85 - / + 15 grm155r60j225me95 1 f 6,3 0201 class 2 x5r - / + 20% -55 to + 85 - / + 15 grm033r60j105mea2 470nf 6,3 0201 class 2 x5r - / + 20% -55 to + 85 - / + 15 grm033r60g474me90 220nf 6,3 0201 class 2 x5r - / + 20% -55 to + 85 - / + 15 grm033r60j224me90 100nf 6,3 0201 class 2 x5r - / + 20% -55 to + 85 - / + 15 grm033r60j104me19 (1) minimum value for each pcb capacitor: 100 nf. (2) among the different capacitors, 470 nf is recommended (not required) to filter at 5-mhz to 10-mhz frequency range. (3) in comparison with the eia class 1 dielectrics, class 2 dielectric capacitors tend to have severe temperature drift, high dependence of capacitance on applied voltage, high voltage coefficient of dissipation factor, high frequency coefficient of dissipation, and problems with aging due to gradual change of crystal structure. aging causes gradual exponential loss of capacitance and decrease of dissipation factor. recommended power supply decoupling capacitors main characteristics for automotive products are shown in table below: table 8-2. automotive applications recommended decoupling capacitors characteristics (1) (2) value voltage [v] package stability dielectric capacitanc e tolerance temp range [ c] temp sensitivity [%] reference 22 f 6,3 1206 class 2 x7r - / + 20% -55 to + 125 - / + 15 gcm31cr70j226me23 10 f 6,3 0805 class 2 x7r - / + 20% -55 to + 125 - / + 15 gcm21br70j106me22 4.7 f 10 0805 class 2 x7r - / + 20% -55 to + 125 - / + 15 gcm21bc71a475ma73 2.2 f 6,3 0603 class 2 x7r - / + 20% -55 to + 125 - / + 15 gcm188r70j225me22 1 f 16 0603 class 2 x7r - / + 20% -55 to + 125 - / + 15 gcm188r71c105ma64 470nf 16 0603 class 2 x7r - / + 20% -55 to + 125 - / + 15 gcm188r71c474ma55 220nf 25 0603 class 2 x7r - / + 20% -55 to + 125 - / + 15 gcm188l81c224ma37 100nf 16 0402 class 2 x7r - / + 20% -55 to + 125 - / + 15 gcm155r71c104ma55 (1) minimum value for each pcb capacitor: 100 nf. (2) among the different capacitors, 470 nf is recommended (not required) to filter at 5-mhz to 10-mhz frequency range. 8.3.3 static pdn analysis one power net parameter derived from a pcb ? s pdn static analysis is the effective resistance (reff). this is the total pcb power net routing resistance that is the sum of all the individual power net segments used to deliver a supply voltage to the point of load and includes any series resistive elements (i.e. current sensing resistor) that may be installed between the pmic outputs and processor inputs. 8.3.4 dynamic pdn analysis three power net parameters derived from a pcb ? s pdn dynamic analysis are the loop inductance (ll), impedance (z) and pcb frequency of interest (fpcb).
194 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated ? ll values shown are the recommended max pcb trace inductance between a decoupling capacitor ? s power supply and ground reference terminals when viewed from the decoupling capacitor with a "theoretical shorted ? applied across the processor ? s supply inputs to ground reference. ? z values shown are the recommended max pcb trace impedances allowed between fpmic up to fpcb frequency range that limits transient noise drops to no more than 5% of min supply voltage during max transient current events. ? fpcb (frequency of interest) is defined to be a power rail ? s max frequency after which adding a reasonable number of decoupling capacitors no longer significantly reduces the power rail impedance below the desired impedance target (zt2). this is due to the dominance of the pcb ? s parasitic planar spreading and internal package inductances. table 8-3. recommended pdn and decoupling characteristics (1) (2) (3) (4) (5) pdn analysis: static dynamic number of recommended decoupling capacitors per supply supply max r eff (7) [m ] dec. cap. max ll (8) (6) [nh] max impedance [m ] frequency range of interest [mhz] 100 nf (6) 220 nf 470 nf 1 f 2.2 f 4.7 f 10 f 22 f vdd_dspeve 33 2.5 54 20 6 1 1 1 1 1 1 vdd 83 2 87 50 6 1 1 1 1 1 vdds_ddr1, vdds_ddr2, vdds_ddr3 33 2.5 200 100 8 4 2 2 1 cap_vddram_cor e1 n/a 6 n/a n/a 1 cap_vddram_cor e2 n/a 6 n/a n/a 1 cap_vddram_dsp eve n/a 6 n/a n/a 1 (1) for more information on peak-to-peak noise values, see the recommended operating conditions table of the electrical characteristics chapter. (2) esl must be as low as possible and must not exceed 0.5 nh. (3) the pdn (power delivery network) impedance characteristics are defined versus the device activity (that runs at different frequency) based on the recommended operating conditions table of the electrical characteristics chapter. (4) the static drop requirement drives the maximum acceptable pcb resistance between the pmic or the external smps and the processor power balls. (5) assuming that the external smps (power ic) feedback sense is taken close to processor power balls. (6) high-frequency (30 to 70mhz) pcb decoupling capacitors (7) maximum total r eff from pmic output to remote sensing feedback point located as close to the device's point of load as possible. (8) maximum loop inductance for decoupling capacitor. 8.3.5 power supply mapping tps65917 is a power management ic (pmic) that can be used for the device design. ti is now investigating an optimized solution for high power use cases so the tps65917 is subject to change. an alternate dual converter power solution using lp8732q and lp8733q are recommended. ti requires the use of one of these pmic solutions for the following reasons: ? ti has validated its use with the device ? board level margins including transient response and output accuracy are analyzed and optimized for the entire system ? support for power sequencing requirements (refer to section 5.10 power sequencing ) ? support for adaptive voltage scaling (avs) class 0 requirements, including ti provided software
195 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated it is possible that some voltage domains on the device are unused in some systems. in such cases, to ensure device reliability, it is still required that the supply pins for the specific voltage domains are connected to some core power supply output. these unused supplies though can be combined with any of the core supplies that are used (active) in the system. e.g. if iva and gpu domains are not used, they can be combined with the core domain, thereby having a single power supply driving the combined core, iva and gpu domains. for the combined rail, the following relaxations do apply: ? the avs voltage of active rail in the combined rail needs to be used to set the power supply ? the decoupling capacitance should be set according to the active rail in the combined rail whenever we allow for combining of rails mapped on any of the smpses, the pdn guidelines that are the most stringent of the rails combined should be implemented for the particular supply rail. table 8-4 illustrates the approved and validated power supply connections to the device for the smps outputs of the tps65917 and lp8732 combined with lp8733 pmics. table 8-4. power supply connections tps65917 dual converter solution valid combination 1: smps1 lp8733q buck0 vdd_dspeve smps2 lp8733q buck1 vdd smps3 lp8732q buck0 vdds18v, vdds18v_ddr[3:1], vddshv[6:1] smps4 lp8732q buck1 vdds_ddr1, vdds_ddr2, vdds_ddr3 table 8-5 illustrates the lp8733 and lp8732 otp ids required for tda3 processor systems using different ddr memory types. table 8-5. otp id memory types support ddr type lp8733q lp8732q otp version otp version ddr2 2a 2d lpddr2 2a 2b ddr3 2a 2f ddr3l 2a 2e 8.3.6 dpll voltage requirement the voltage input to the dplls has a low noise requirement. board designs should supply these voltage inputs with a low noise ldo to ensure they are isolated from any potential digital switching noise. the tps65917 pmic ldoln output or ldo0 on lp8733q dual power solution is specifically designed to meet this low noise requirement. note for more information about input voltage sources, see dplls, dlls specifications table 8-6 presents the voltage inputs that supply the dplls. table 8-6. input voltage power supplies for the dplls power supply dplls vdda_per dpll_per and per hsdivider analog power supply vdda_ddr_dsp dpll_dsp, dpll_ddr and ddr hsdivider analog power supply vdda_gmac_core gmac pll, gmac hsdivider, dpll_core and core hsdivider analog power supply
196 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.3.7 loss of input power event a few key pdn design items needed to enable a controlled and compliant soc power down sequence for a ? loss of input power ? event are: ? ? loss of input power ? early warning ? ti evm and reference design study schs and pdns achieve this by using the 1st stage converter ? s (i.e. lm536033-q1) power good status output to enable and disable the 2nd stage pmic devices (i.e. tps65917/919, lp8733, and lp8732). if a different 1st stage converter is used, care must be taken to ensure an adequate ? pg_status ? or ? vbatt_status ? signal is provided that can disable 2nd stage pmic to begin a controlled and compliant soc power down sequence. the total elapsed time from asserting ? pg_status ? low until soc ? s pmic input voltage reaches minimum level of 2.75v should be minimum of 1.5ms and 2ms preferred. ? maximize discharge time of 1st stage vout (vsys_3v3 power rail = input voltage to soc pmic). ? ti evm and reference design study schs and pdns achieve this by opening an in-line load switch immediately upon ? pg_status ? low assertion in order to remove the soc ? s 3.3v io load current from vsys_3v3. this will extend the vsys_3v3 power rail ? s discharge time in order to maximize elapsed time for allowing soc pmic to execute a controlled and compliant power down sequence. care should be taken to either disable or isolate any additional peripheral components that may be loading the vsys_3v3 rail as well. ? sufficient bulk decoupling capacitance on the 1st stage vout (vsys_3v3 per pdn) that allows for desired 1.5 ? 2ms elapsed time as described above. ? ti evm and reference design study schs and pdns achieve this by using 200uf of total capacitance on vsys_3v3. the 1st stage converter (i.e. lm536033-q1) can typically drive a max of 400uf to help extend vsys_3v3 discharge time for a compliant soc power down sequence. ? optimizing the 2nd stage soc pmic ? s otp settings that determines soc power up and down sequences and total elapsed time needed for a controlled sequence. ? ti evm and reference design study schs and pdns achieve this by using optimized otps per the sch and components used. the definition of these otps is captured in the detailed timing diagrams for both power up and down sequences. the pdn diagram typically shows a recommended pmic otp id based upon the soc and ddr memory types. 8.3.8 example pcb design the following sections describe an example pcb design and its resulting pdn performance for the vdd_dspeve key processor power domain. note materials presented in this section are based on generic pdn analysis on pcb boards and are not specific to systems integrating the device. 8.3.8.1 example stack-up layer assignments: ? layer top: signal and segmented power plane ? processor and pmic components placed on top-side ? layer 2: gnd plane1 ? layer 3: signals ? layer n: power plane1 ? layer n+1: power plane 2 ? layer n+2: signal ? layer n+3: gnd plane2
197 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated ? layer bottom: signal and segmented power planes ? decoupling caps, etc. via technology: through-hole copper weight: ? ? oz for all signal layers. ? 1-2oz for all power plane for improved pcb heat spreading 8.3.8.2 vdd_dspeve example analysis maximum acceptable pcb resistance (r eff ) between the pmic and processor input power balls should not exceed 33m per table 8-3 and (7) . maximum decoupling capacitance loop inductance (ll) between processor input power balls and decoupling capacitances should not exceed 2.5nh per table 8-3 and (7) (esl not included). impedance target for key frequency of interest between processor input power balls and pmic ? s smps output power balls should not exceed 54m per table 8-3 and (7) . table 8-7. example pcb vdd_dspeve pi analysis summary parameter recommendation example pcb opp opp_nom clocking rate 500 mhz voltage level 1 v 1 v max current draw 1 a 1 a max effective resistance: power inductor segment total r eff 13 m 11.4m max loop inductance < 2.5 nh 0.73 - 1.58 nh impedance target 54 m for f < 20 mhz 28.8 m for f < 20mhz
198 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-15 shows a pcb layout example and the resulting pi analysis results. figure 8-15. vdd_dspeve simplified sch diagram table 8-8. dcap scheme vaule [uf] size qty capacitance [uf] cap type: automotive gcm series, x7r 22 1206 1 22 4.7 805 1 4.7 2.2 603 1 2.2 1 603 1 1 0.47 603 1 0.47 0.22 603 1 0.22 0.1 402 6 0.6 totals 12 31.19
199 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated ir drop: vdd_dspeve figure 8-16. vdd_dspeve voltage/ir drop [all layers] dynamic analysis of this pcb design for the core power domain determined the vdd_dspeve decoupling capacitor loop inductance and impedance vs frequency analysis shown below. as you can see, the loop inductance values ranged from 0.68 ? 1.79nh and were less than maximum 2.0nh recommended. table 8-9. decoupling design detail summary cap reference description loop inductacne at 50mhz [nh] footprint types pcb side distance to ball-field [mils] value size c5101 0.73 2vwee bottom 82 0.1 0402 c5100 0.78 2vwee bottom 107 0.1 0402 c5085 0.84 2vwee bottom 35 0.1 0402 c5019 1.09 4vwe top 631 0.47 0603 c5111 1.09 4vwe bottom 681 0.1 0402 c5030 1.11 4vwe top 738 4.7 0805 c5037 1.11 4vwe top 563 2.2 0603 c5018 1.14 4vwe top 681 1 0603 c5021 1.17 4vwe top 761 0.22 0603 c5026 1.18 4vwe top 792 22 1206 c5079 1.32 4vwe bottom 542 0.1 0402 c5080 1.58 4vwe bottom 602 0.1 0402
200 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-17 shows vdd_dspeve impedance vs frequency characteristics. figure 8-17. vdd_dspeve impedance vs frequency 8.4 single-ended interfaces 8.4.1 general routing guidelines the following paragraphs detail the routing guidelines that must be observed when routing the various functional lvcmos interfaces.
201 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated ? line spacing: ? for a line width equal to w, the spacing between two lines must be 2w, at least. this minimizes the crosstalk between switching signals between the different lines. on the pcb, this is not achievable everywhere (for example, when breaking signals out from the device package), but it is recommended to follow this rule as much as possible. when violating this guideline, minimize the length of the traces running parallel to each other (see figure 8-18 ). figure 8-18. ground guard illustration ? length matching (unless otherwise specified): ? for bus or traces at frequencies less than 10 mhz, the trace length matching (maximum length difference between the longest and the shortest lines) must be less than 25 mm. ? for bus or traces at frequencies greater than 10 mhz, the trace length matching (maximum length difference between the longest and the shortest lines) must be less than 2.5 mm. ? characteristic impedance ? unless otherwise specified, the characteristic impedance for single-ended interfaces is recommended to be between 35- and 65- . ? multiple peripheral support ? for interfaces where multiple peripherals have to be supported in the star topology, the length of each branch has to be balanced. before closing the pcb design, it is highly recommended to verify signal integrity based on simulations including actual pcb extraction. 8.4.2 qspi board design and layout guidelines the following section details the routing guidelines that must be observed when routing the qspi interfaces. 8.4.2.1 if qspi is operated in mode 0 (pol=0, pha=0): ? the qspi1_sclk output signal must be looped back into the qspi1_rtclk input. ? the signal propagation delay from the qspi1_sclk ball to the qspi device clk input pin (a to c) must be approximately equal to the signal propagation delay from the qspi device clk pin to the qspi1_rtclk ball (c to d). ? the signal propagation delay from the qspi device clk pin to the qspi1_rtclk ball (c to d) must be approximately equal to the signal propagation delay of the control and data signals between the qspi device and the soc device (e to f, or f to e). ? the signal propagation delay from the qspi1_sclk signal to the series terminators (r2 = 10 ) near the qspi device must be < 450ps (~7cm as stripline or ~8cm as microstrip) ? 50 pcb routing is recommended along with series terminations, as shown in figure 8-19 . w d+ s = 2 w = 200 m sprs91v_pcb_se_gnd_01
202 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated ? propagation delays and matching: ? a to c = c to d = e to f ? matching skew: < 60ps ? a to b < 450ps ? b to c = as small as possible ( < 60ps) figure 8-19. qspi interface high level schematic mode 0 (pol=0, pha=0) note *0 resistor (r1), located as close as possible to the qspi1_sclk pin, is placeholder for fine- tuning if needed. 8.4.2.2 if qspi is operated in mode 3 (pol=1, pha=1): ? the qspi1_rtclk input can be left unconnected. ? the signal propagation delay from the qspi1_sclk signal to the qspi device clk pin (a to c) must be approximately equal to the signal propagation delay of the control and data signals between the qspi device and the soc device (e to f, or f to e). ? the signal propagation delay from the qspi1_sclk signal to the qspi device clk pin (a to c) must be < 450ps (~7cm as stripline or ~8cm as microstrip). ? 50 pcb routing is recommended along with series terminations, as shown in figure 8-20 . ? propagation delays and matching: ? a to c = e to f. ? matching skew: < 60ps ? a to b < 450ps a b c d e f qspi1_sclkqspi1_rtclk r2 r2 r1 qspi deviceclock input qspi deviceiox, cs# qspi1_d[x], qspi1_cs[y] 0 * 10 10 locate both r2 resistorsclose together near the qspi device sprs906_pcb_qspi_01
203 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-20. qspi interface high level schematic mode 3 (pol=1, pha=1) note *0 resistor (r1), located as close as possible to the qspi1_sclk pin, is placeholder for fine- tuning if needed. 8.5 differential interfaces 8.5.1 general routing guidelines to maximize signal integrity, proper routing techniques for differential signals are important for high-speed designs. the following general routing guidelines describe the routing guidelines for differential lanes and differential signals. ? as much as possible, no other high-frequency signals must be routed in close proximity to the differential pair. ? must be routed as differential traces on the same layer. the trace width and spacing must be chosen to yield the differential impedance value recommended. ? minimize external components on differential lanes (like external esd, probe points). ? through-hole pins are not recommended. ? differential lanes mustn ? t cross image planes (ground planes). ? no sharp bend on differential lanes. ? number of vias on the differential pairs must be minimized, and identical on each line of the differential pair. in case of multiple differential lanes in the same interface, all lines should have the same number of vias. ? shielded routing is to be promoted as much as possible (for instance, signals must be routed on internal layers that are inside power and/or ground planes). a c e f qspi1_sclk r1 qspi deviceclock input qspi deiceiox, cs# qspi1_d[x], qspi1_cs[y] 0 * sprs91v_pcb_qspi_01
204 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.5.2 csi2 board design and routing guidelines the mipi d-phy signals include the csi2 camera serial interfaces to or from the device. for more information regarding the mipi-phy signals and corresponding balls, see table 4-10 , csi2 signal descriptions . for more information, you can also see the mipi d-phy specification v1-01-00_r0-03 (specifically the interconnect and lane configuration and annex b interconnect design guidelines chapters). in the next section, the pcb guidelines of the following differential interfaces are presented: ? csi2_0 mipi csi-2 at 1.5 gbps table 8-10 lists the mipi d-phy interface signals in the device. table 8-10. mipi d-phy interface signals in the device signal name ball signal name ball csi2_0_dx0 a11 csi2_0_dy0 b11 csi2_0_dx1 a12 csi2_0_dy1 b12 csi2_0_dx2 a13 csi2_0_dy2 b13 csi2_0_dx3 a15 csi2_0_dy3 b15 csi2_0_dx4 a16 csi2_0_dy4 b16 8.5.2.1 csi2_0 mipi csi-2 (1.5 gbps) 8.5.2.1.1 general guidelines the general guidelines for the pcb differential lines are: ? differential trace impedance z 0 = 100 (minimum = 85 , maximum = 115 ) ? total conductor length from the device package pins to the peripheral device package pins is 25 to 30 cm with common fr4 pcb and flex materials. note longer interconnect length can be supported at the expense of detailed simulations of the complete link including driver and receiver models. the general rule of thumb for the space s = 2 w is not designated (see figure 8-18 , guard illustration ). it is because although the s = 2 w rule is a good rule of thumb, it is not always the best solution. the electrical performance will be checked with the frequency-domain specification. even though the designer does not follow the s = 2 w rule, the differential lines are ok if the lines satisfy the frequency-domain specification. because the mipi signals are used for low-power, single-ended signaling in addition to their high-speed differential implementation, the pairs must be loosely coupled. 8.5.2.1.2 length mismatch guidelines 8.5.2.1.2.1 csi2_0 mipi csi-2 (1.5 gbps) the guidelines of the length mismatch for csi-2 are presented in table 8-11 . table 8-11. length mismatch guidelines for csi-2 (1.5 gbps) parameter typical value unit operating speed 1500 mbps ui (bit time) 667 ps intralane skew have to satisfy mode-conversion s parameters (1)
205 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated table 8-11. length mismatch guidelines for csi-2 (1.5 gbps) (continued) parameter typical value unit interlane skew (ui / 50) 13.34 ps pcb lane-to-lane skew (0.1 ui) 66.7 ps (1) sdc12, scd21, scd12, sdc21, scd11, sdc11, scd22, and sdc22 8.5.2.1.3 frequency-domain specification guidelines after the pcb design is finished, the s-parameters of the pcb differential lines will be extracted with a 3d maxwell equation solver such as the high-frequency structure simulator (hfss) or equivalent, and compared to the frequency-domain specification as defined in the section 7 of the mipi alliance specification for d-phy version v1-01-00_r0-03. if the pcb lines satisfy the frequency-domain specification, the design is finished. otherwise, the design needs to be improved. 8.6 clock routing guidelines 8.6.1 oscillator ground connection although the impedance of a ground plane is low it is, of course, not zero. therefore, any noise current in the ground plane causes a voltage drop in the ground. figure 8-21 shows the grounding scheme for slow (low frequency) clock generated from the internal oscillator. figure 8-21. grounding scheme for low-frequency clock figure 8-22 shows the grounding scheme for high-frequency clock. device rtc_osc_xo rtc_osc_xi_clkin32 c f1 crystal rd c f2 (optional) sprs91v_pcb_clk_osc_02
206 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated (1) j in *_osc = 0 or 1 figure 8-22. grounding scheme for high-frequency clock 8.7 lpddr2 board design and layout guidelines 8.7.1 lpddr2 board designs ti only supports board designs using lpddr2 memory that follow the guidelines in this document. the switching characteristics and timing diagram for the lpddr2 memory interface are shown in table 8-12 and figure 8-23 . table 8-12. switching characteristics for lpddr2 memory interface no. parameter min max unit 1 t c(ddr_ck) cycle time, ddr1_ck and ddr1_nck 7.52 3.00 (1) ns (1) the jedec jesd209-2f standard defines the maximum clock period of 100 ns for all standard-speed bin lpddr2 memory. the device has only been tested per the limits published in this table. figure 8-23. lpddr2 memory interface clock timing 8.7.2 lpddr2 device configurations there is signal device configuration supported, supporting either 32b or 16b data widths. table 8-13 lists all the supported configuration. table 8-13. supported lpddr2 device combinations number of lpddr2 devices lpddr2 device width (bits) mirrored? lpddr2 emif width (bits) 1 32 / 16 n 32 / 16 xi_oscj vssa_oscj device xo_oscj c f1 crystal rd c f2 (optional) sprs91v_pcb_clk_osc_03 ddr1_ck 1 ddr1_nck sprs917_lpddr2_01
207 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.7.3 lpddr2 interface 8.7.3.1 lpddr2 interface schematic figure 8-24 shows the schematic connections for 32-bit interface with or without ecc using one x32 lpddr2 device. figure 8-24. 32-bit interface with and without ecc using one x32 lpddr2 device (1) (3) (4) (1) when lpddr2 memory are used, these signal function as ddr1_ca[9:0]. for more information, see table 4-11 , emif1 signal descriptions (2) rca is 10 ? resistor and is to be placed near tda3x device. (3) the r dat is 22 ? resistor and is to be placed near tda3x device (4) if ecc is required, pins available behind data lane 3 (data would then only use 16bit (lanes 1 and 2)) when not using a part of lpddr2 interface (using x16 or not using the lpddr2 interface): ? connect the vdds_ddr supply to 1.8 v ? tie off ddr1_dqs x (x=0,1,2,3) that are unused to vss via 1 k ? ? tie off ddr1_dqsn x (x=0,1,2,3) that are unused to vdds_ddr via 1 k ? ? all other unused pins can be left as nc. 32-bit lpddr2 device 0.1 f 32-bit lpddr2 interface ddr1_d[31:0] ddr1_dqm[3:0] ddr1_dqs[3:0] ddr1_dqsn[3:0] zq0/1 vref(ca) vref(dq) zq ddr1_ecc_d[7:0] ddr1_dqm_ecc ddr1_dqs_ecc ddr1_dqsn_ecc 1 k vdds_ddr 0.1 f 0.1 f 1 k ddr_vref sprs917_lpddr2_02 r dat r dat r dat r dat ddr1_ck ddr1_nck ddr1_cke0 ddr1_csn0 r ca r ca r ca r ca ddr1_rasn (ddr1_ca0) (1) ddr1_casn ( (1) ddr1_ca1) ddr1_wen (ddr1_ca2) (1) ddr1_a13 (ddr1_ca3) (1) ddr1_a10 (ddr1_ca4) (1) ddr1_a1 (ddr1_ca5) (1) ddr1_a2 (ddr1_ca6) (1) ddr1_ba0 (ddr1_ca7) (1) ddr1_ba1 (ddr1_ca8) (1) ddr1_ba2 (ddr1_ca9) (1) r ca r ca r ca r ca r ca r ca r ca r ca r ca r ca dq[31:0] dm[3:0] dqs_t[3:0] ck_t ck_c cke cs_n ca[0] ca[1]ca[2] ca[3] ca[4] ca[5] ca[6] ca[7] ca[8] ca[9] dqs_c[3:0] 1 k vdds_ddr 1 k vdds_ddr 8
208 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated note: all the unused ddr addr_ctrl lines used for ddr3 operation should be left as nc.
209 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.7.3.2 compatible jedec lpddr2 devices table 8-14 shows the supported lpddr2 device configurations which are compatible with this interface. table 8-14. compatible jedec lpddr2 devices (per interface) no. parameter condition min max unit 1 jedec lpddr2 device speed grade t c(ddr_ck) and t c(ddr_nck) lpddr2-667 2 jedec lpddr2 device bit width x16 x32 bits 3 jedec lpddr2 device count 1 1 devices 8.7.3.3 lpddr2 pcb stackup table 8-15 shows the minimum stackup requirements. additional layers may be added to the pcb stackup to accommodate other circuitry, enhance signal integrity and electromagnetic interference performance, or to reduce the size of the pcb footprint. table 8-15. six-layer pcb stackup suggestion layer type description 1 signal top signal routing 2 plane ground 3 signal signal routing 4 plane split power plane 5 plane ground 6 signal bottom signal routing pcb stackup specifications for lpddr2 interface are listed in table 8-16 . table 8-16. pcb stackup specifications no. parameter min typ max unit 1 pcb routing and plane layers 6 2 signal routing layers 3 3 full ground reference layers under lpddr2 routing region (1) 1 4 full vdds_ddr power reference layers under the lpddr2 routing region (1) 1 5 number of reference plane cuts allowed within lpddr2 routing region (2) 0 6 number of layers between lpddr2 routing layer and reference plane (3) 0 7 pcb routing feature size 4 mils 8 pcb trace width, w 4 mils 9 pcb bga escape via pad size (4) 18 20 mils 10 pcb bga escape via hole size 8 mils 11 single-ended impedance, zo (5) 50 75 ? 12 impedance control (6) (7) zo-5 zo zo+5 ? (1) ground reference layers are preferred over power reference layers. be sure to include bypass caps to accommodate reference layer return current as the trace routes switch routing layers. (2) no traces should cross reference plane cuts within the lpddr2 routing region. high-speed signal traces crossing reference plane cuts create large return current paths which can lead to excessive crosstalk and emi radiation. (3) reference planes are to be directly adjacent to the signal plane to minimize the size of the return current loop. (4) an 18-mil pad assumes via channel is the most economical bga escape. a 20-mil pad may be used if additional layers are available for power routing. an 18-mil pad is required for minimum layer count escape. (5) zo is the nominal singled-ended impedance selected for the pcb. (6) this parameter specifies the ac characteristic impedance tolerance for each segment of a pcb signal trace relative to the chosen zo defined by the single-ended impedance parameter. (7) tighter impedance control is required to ensure flight time skew is minimal.
210 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.7.3.4 lpddr2 placement figure 8-25 shows the placement rules for the device as well as the lpddr2 memory device. placement restrictions are provided as a guidance to restrict maximum trace lengths and allow for proper routing space. figure 8-25. placement specifications table 8-17. placement specifications (1) no. parameter min max unit 1 x1 offset (2) (3) 900 mils 2 y offset 200 mils 3 clearance from non-lpddr2 signal to lpddr2 keepout region (4) (5) 4 w (1) lpddr2 keepout region to encompass entire lpddr2 routing area. (2) measurements from center of device to center of lpddr2 device. (3) minimizing x1 and y improves timing margins. (4) w is defined as the signal trace width. (5) non-lpddr2 signals allowed within lpddr2 keepout region provided they are separated from lpddr2 routing layers by a ground plane. 8.7.3.5 lpddr2 keepout region the region of the pcb used for lpddr2 circuitry must be isolated from other signals. the lpddr2 keepout region is defined for this purpose and is shown in figure 8-26 . this region should encompass all lpddr2 circuitry and the region size varies with component placement and lpddr2 routing. non- lpddr2 signals should not be routed on the same signal layer as lpddr2 signals within the lpddr2 keepout region. non-lpddr2 signals may be routed in the region provided they are routed on layers separated from lpddr2 signal layers by a ground layer. no breaks should be allowed in the reference ground or vdds_ddr power plane in this region. in addition, the vdds_ddr power plane should cover the entire keepout region. figure 8-26. lpddr2 keepout region y x1 sprs917_lpddr2_04 lpddr2 data lpddr2 addt_ctrl lpddr2 addt_ctrl lpddr2 data sprs917_lpddr2_05 lpddr2 keepout region encompasses entire lpddr2 routing area
211 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.7.3.6 lpddr2 net classes table 8-18. clock net class definitions for the lpddr2 interface clock net class pin names ck ddr1_ck and ddr1_nck dqs0 ddr1_dqs0 and ddr1_dqsn0 dqs1 ddr1_dqs1 and ddr1_dqsn1 dqs2 ddr1_dqs2 and ddr1_dqsn2 dqs3 ddr1_dqs3 and ddr1_dqsn3 table 8-19. signal net class and associated clock net class for lpddr2 interface signal net class associated clock net class ball names addr_ctrl ck ddr1_ba[2:0], ddr1_csn0, ddr1_cke0, ddr1_rasn, ddr1_casn, ddr1_wen, ddr1_a1, ddr1_a2, dr1_a10, ddr1_a13 dq0 dqs0 ddr1_d[7:0], ddr1_dqm0, ddr1_dqs0, ddr1_dqsn0 (1) dq1 dqs1 ddr1_d[15:8], ddr1_dqm1, ddr1_dqs1, ddr1_dqsn1 (1) dq2 dqs2 ddr1_d[23:16], ddr1_dqm2, ddr1_dqs2, ddr1_dqsn2 (1) dq3 dqs3 ddr1_d[31:24], ddr1_dqm3, ddr1_dqs3, ddr1_dqsn3 (1) (1) dq data class includes dqs/n pins 8.7.3.7 lpddr2 signal termination on-device termination (odt) is available for dq[3:0] signal net classes, but is not specifically required for normal operation. system designers may evaluate the need for additional series termination if required based on signal integrity, emi and overshoot/undershoot reduction. on board series termination is recommended for all addr_ctrl and ck class signals. it is recommended a resistor with value of 10 ? to be placed close to the tda3x source pin (within 350 mils). on board series termination is recommended for all dqx and dqsx class signals. it is recommended a resistor with value of 22 ? to be placed close to the tda3x source pin (within 500 mils). 8.7.3.8 lpddr2 ddr_vref routing ddr_vref is the reference voltage for the input buffers on the lpddr2 memory. ddr_vref is intended to be half the lpddr2 power supply voltage and is typically generated with a voltage divider connected to the vdds_ddr power supply. it should be routed as a nominal 20-mil wide trace with 0.1- f bypass capacitors near each device connection. narrowing of ddr_vref is allowed to accommodate routing congestion. 8.7.4 routing specification 8.7.4.1 dqs[x] and dq[x] routing specification dqs[x] lines are point-to-point differential and dq[x] lines are point-to-point single ended. figure 8-27 and figure 8-28 represent the supported topologies. figure 8-29 and figure 8-30 show the dqs[x] and dq[x] routing. figure 8-31 shows the dqlm for the lpddr2 interface.
212 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated x = 0, 1, 2, 3 figure 8-27. dqs[x] topology x = 0, 1, 2, 3 figure 8-28. dq[x] topology x = 0, 1, 2, 3 figure 8-29. dqs[x] routing x = 0, 1, 2, 3 figure 8-30. dq[x] routing dq[x] device dq[x] io buffer ddr3dq[x] io buffer sprs917_lpddr2_07 routed differentially sprs917_lpddr2_08 r dat r dat lpddr2 data lpddr2 addt_ctrl device dqs[x] io buffer ddr3dqs[x] io buffer routed differentially dqs[x]- dqs[x]+ sprs917_lpddr2_06 sprs917_lpddr2_09 lpddr2 data lpddr2 addt_ctrl dq[x]dq[x]
213 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated there are four dqlms, one for each data byte, in a 32-bit interface and two dqlms, one for each data byte, in a 16- bit interface. each dqlm is the longest manhattan distance of the byte. figure 8-31. dqlm for lpddr2 interface trace routing specifications for the dq[x] and the dqs[x] are specified in table 8-20 . table 8-20. dqs[x] and dq[x] routing specification (1) (2) no. parameter min typ max unit 1 dq0 nominal length (3) (4) dqlm0 mils 2 dq1 nominal length (3) (5) dqlm1 mils 3 dq2 nominal length (3) (6) dqlm2 mils 4 dq3 nominal length (3) (7) dqlm3 mils 5 dq[x] skew (8) 10 ps 6 dqs[x] skew 5 ps 7 via count per each trace in dq[x], dqs[x] 2 8 via count difference across a given dq[x], dqs[x] 0 9 dqs[x]-to-dq[x] skew (8) (9) 10 ps 10 center-to-center dq[x] to other lpddr2 trace spacing (10) (11) 4 w 11 center-to-center dq[x] to other dq[x] trace spacing (10) (12) 3 w 12 dqs[x] center-to-center spacing (13) 13 dqs[x] center-to-center spacing to other net (10) 4 w (1) dqs[x] represents the dqs0, dqs1, dqs2, dqs3 clock net classes, and dq[x] represents the dq0, dq1, dq2, dq3 signal net classes. (2) external termination disallowed. data termination should use built-in odt functionality. (3) dqlmn is the longest manhattan distance of a byte. (4) dqlm0 is the longest manhattan length for the dq0 net class. (5) dqlm1 is the longest manhattan length for the dq1 net class. (6) dqlm2 is the longest manhattan length for the dq2 net class. (7) dqlm3 is the longest manhattan length for the dq3 net class. (8) length matching is only done within a byte. length matching across bytes is not required. (9) each dqs clock net class is length matched to its associated dq signal net class. (10) center-to-center spacing is allowed to fall to minimum for up to 1000 mils of routed length. (11) other lpddr2 trace spacing means signals that are not part of the same dq[x] signal net class. (12) this applies to spacing within same dq[x] signal net class. (13) dqs[x] pair spacing is set to ensure proper differential impedance. differential impedance should be zo x 2, where zo is the single- ended impedance. dqlmyi dqi dqlmxi i = 0, 1, 2, 3 lpddr2 interface dqlm0 = dqlmx0 + dqlmy0dqlm2 = dqlmx2 + dqlmy2 dqlm1 = dqlmx1 + dqlmy1dqlm3 = dqlmx3 + dqlmy3 dq0 - dq3 represent data bytes 0 - 3. sprs917_lpddr2_10
214 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.7.4.2 ck and addr_ctrl routing specification ck signals are routed as point-to-point differential, and addr_ctrl signals are routed as point-to-point single ended. the supported topology for ck and addr_ctrl are shown in figure 8-32 through figure 8-35 . note that addr_ctrl are routed very similar to dq and ck is routed very similar to dqs. figure 8-32. ck signals topology figure 8-33. addr_ctrl signals topology figure 8-34. ck signals routing figure 8-35. addr_ctrl signals routing sprs917_lpddr2_13 r dat lpddr2 data lpddr2 addt_ctrl sprs917_lpddr2_14 lpddr2 data lpddr2 addt_ctrl addr_ctrl device ck output buffer lpddr2 input buffer routed differentially ck- ck+ sprs917_lpddr2_11 addr_ctrl device addr_ctrl output buffer lpddr2addr_ctrl input buffer sprs917_lpddr2_12
215 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated caclm is the longest manhattan distance of the ck/addr_ctrl signal class. figure 8-36. caclm for lpddr2 interface trace routing specifications for the ck and the add_ctrl are specified in table 8-21 . table 8-21. ck and addr_ctrl routing specification no. parameter min typ max unit 1 ck and addr_ctrl nominal trace length (1) caclm mils 2 addr_ctrl skew 20 ps 3 ck skew 5 ps 4 via count per each trace addr_ctrl, ck 2 5 via count difference across addr_ctrl, ck 0 6 addr_ctrl-to-ck skew 20 ps 7 center-to-center addr_ctrl to other lpddr2 trace spacing (2) (3) 4 w 8 center-to-center addr_ctrl to other addr_ctrl trace spacing (2) 3 w 9 ck center-to-center spacing (4) 10 ck center-to-center spacing to other net (2) 4 w (1) caclm is the longest manhattan distance of addr_ctrl and ck. (2) center-to-center spacing is allowed to fall to minimum for up to 1000 mils of routed length. (3) other lpddr2 trace spacing means signals that are not part of the same ck, addr_ctrl signal net class. (4) ck pair spacing is set to ensure proper differential impedance. differential impedance should be zo x 2, where zo is the single ended impedance. 8.8 ddr2 board design and layout guidelines 8.8.1 ddr2 general board layout guidelines to help ensure good signaling performance, consider the following board design guidelines: ? avoid crossing splits in the power plane. ? minimize vref noise. ? use the widest trace that is practical between decoupling capacitors and memory module. ? maintain a single reference. ? minimize isi by keeping impedances matched. ? minimize crosstalk by isolating sensitive bits, such as strobes, and avoiding return path discontinuities. ? use proper low-pass filtering on the vref pins. ? keep the stub length as short as possible. ? add additional spacing for on-clock and strobe nets to eliminate crosstalk. caclmy caclmx caclm = caclmx + caclmy lpddr2 interface sprs917_lpddr2_15
216 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated ? maintain a common ground reference for all bypass and decoupling capacitors. ? take into account the differences in propagation delays between microstrip and stripline nets when evaluating timing constraints. 8.8.2 ddr2 board design and layout guidelines 8.8.2.1 board designs ti only supports board designs that follow the guidelines outlined in this document. the switching characteristics and the timing diagram for the ddr2 memory controller are shown in table 8-22 and figure 8-37 . table 8-22. switching characteristics over recommended operating conditions for ddr2 memory controller no. paramete r description min max unit ddr21 t c(ddr_clk) cycle time, ddr_clk 2.5 8 ns figure 8-37. ddr2 memory controller clock timing 8.8.2.2 ddr2 interface this section provides the timing specification for the ddr2 interface as a pcb design and manufacturing specification. the design rules constrain pcb trace length, pcb trace skew, signal integrity, cross-talk, and signal timing. these rules, when followed, result in a reliable ddr2 memory system without the need for a complex timing closure process. for more information regarding the guidelines for using this ddr2 specification, see the understanding ti ? s pcb routing rule-based ddr timing specification application report (literature number: spraav0 ). 8.8.2.2.1 ddr2 interface schematic figure 8-38 shows the ddr2 interface schematic for a x32 ddr2 memory system. in figure 8-39 the x16 ddr2 system schematic is identical except that the high-word ddr2 device is deleted. when not using all or part of a ddr2 interface, the proper method of handling the unused pins is to tie off the ddr x _dqs i pins to ground via a 1k- resistor and to tie off the ddrx_dqsn i pins to the corresponding vdds_ddr x supply via a 1k- resistor. this needs to be done for each byte not used. the vdds_ddr x and ddr x _vref0 power supply pins need to be connected to their respective power supplies even if ddr x is not being used. all other ddr interface pins can be left unconnected. note that the supported modes for use of the ddr emif are 32-bits wide, 16-bits wide, or not used. ddrx_ck 1 pcb_ddr2_0
217 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated a. vdds_ddr x is the power supply for the ddr2 memories and the device ddr2 interface. b. one of these capacitors can be eliminated if the divider and its capacitors are placed near a vref pin. figure 8-38. 32-bit ddr2 high-level schematic dq0dq7 dqm0 dqs0 dqs0n dq8 dq15 dqm1 dqs1 dqs1n ba0 ba2 a0 a14 cs cas ras we ckeck ck 1 k 1% vdds_ddrx (a) ddr2 vref 0.1 f vref 0.1 f 1 k 1% odt nc ddrx_d0 ddrx_d7 ddrx_dqm0 ddrx_dqs0 ddrx_dqsn0 ddrx_d8 ddrx_d15 ddrx_dqm1 ddrx_dqs1 ddrx_dqsn1 ddrx_d16 ddrx_d23 ddrx_dqm2 ddrx_dqs2 ddrx_dqsn2 ddrx_d24 ddrx_d31 ddrx_dqm3 ddrx_dqs3 ddrx_dqsn3 ddrx_ba0 ddrx_ba2 ddrx_a0 ddrx_a14 ddrx_csn0ddrx_casn ddrx_rasn ddrx_wen ddrx_cke ddrx_ck ddrx_nck ddrx_odt0 ddrx_rst pcb_ddr2_1 dq16dq23 dqm2 dqs2 dqs2n dq24 dq31dqm3 dqs3 dqs3n
218 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated a. vdds_ddr x is the power supply for the ddr2 memories and the device ddr2 interface. b. one of these capacitors can be eliminated if the divider and its capacitors are placed near a vref pin. figure 8-39. 16-bit ddr2 high-level schematic dq0dq7 ldm ldqs ldqs dq8dq15 udm udqs udqs ba0ba2 a0 a14 cs cas ras we ckeck ck 1 k 1% vdds_ddrx (a) ddr2 vref 0.1 f vref 0.1 f 1 k 1% odt ncnc nc 1 k ncnc 1 k 1 k 1 k vdds_ddrx (a) vdds_ddrx (a) nc nc ddrx_d0 ddrx_d7 ddrx_dqm0 ddrx_dqs0 ddrx_dqsn0 ddrx_d8 ddrx_d15 ddrx_dqm1 ddrx_dqs1 ddrx_dqsn1 ddrx_d16 ddrx_d23 ddrx_dqm2 ddrx_dqsn2 ddrx_dqs2 ddrx_d24 ddrx_d31 ddrx_dqm3 ddrx_dqsn3 ddrx_dqs3 ddrx_ba0 ddrx_odt0 ddrx_ba2 ddrx_a0 ddrx_a14 ddrx_csn0 ddrx_casn ddrx_rasn ddrx_wen ddrx_cke ddrx_ck ddrx_nck ddrx_rst pcb_ddr2_2 nc
219 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.8.2.2.2 compatible jedec ddr2 devices table 8-23 shows the parameters of the jedec ddr2 devices that are compatible with this interface. generally, the ddr2 interface is compatible with x16/x32 ddr2-800 speed grade ddr2 devices. table 8-23. compatible jedec ddr2 devices (per interface) no. parameter min max unit cj21 jedec ddr2 device speed grade (1) ddr2-800 cj22 jedec ddr2 device bit width x16 x32 bits cj23 jedec ddr2 device count (2) 1 1 devices (1) higher ddr2 speed grades are supported due to inherent jedec ddr2 backwards compatibility. (2) one ddr2 device is used for a 16-bit ddr2 and 32-bit ddr2 memory system. 8.8.2.2.3 pcb stackup the minimum stackup required for routing the device is a six-layer stackup as shown in table 8-24 . additional layers may be added to the pcb stackup to accommodate other circuitry or to reduce the size of the pcb footprint. table 8-24. minimum pcb stackup layer type description 1 signal external routing 2 plane ground 3 plane power 4 signal internal routing 5 plane ground 6 signal external routing
220 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated complete stackup specifications are provided in table 8-25 . table 8-25. pcb stackup specifications no. parameter min typ max unit ps21 pcb routing/plane layers 6 ps22 signal routing layers 3 ps23 full ground reference layers under ddr2 routing region (1) 1 ps24 full vdds_ddr x power reference layers under the ddr2 routing region (1) 1 ps25 number of reference plane cuts allowed within ddr routing region (2) 0 ps26 number of layers between ddr2 routing layer and reference plane (3) 0 ps27 pcb routing feature size 4 mils ps28 pcb trace width, w 4 mils ps29 single-ended impedance, zo 50 75 ? ps210 impedance control (4) z-5 z z+5 ? (1) ground reference layers are preferred over power reference layers. be sure to include bypass caps to accommodate reference layer return current as the trace routes switch routing layers. a full ground reference layer should be placed adjacent to each ddr routing layer in pcb stack up. (2) no traces should cross reference plane cuts within the ddr routing region. high-speed signal traces crossing reference plane cuts create large return current paths which can lead to excessive crosstalk and emi radiation. (3) reference planes are to be directly adjacent to the signal plane to minimize the size of the return current loop. (4) z is the nominal singled-ended impedance selected for the pcb specified by ps29.
221 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.8.2.2.4 placement figure 8-40 shows the required placement for the device as well as the ddr2 devices. the dimensions for this figure are defined in table 8-26 . the placement does not restrict the side of the pcb on which the devices are mounted. the ultimate purpose of the placement is to limit the maximum trace lengths and allow for proper routing space. for a 16-bit ddr memory system, the high-word ddr2 device is omitted from the placement. figure 8-40. device and ddr2 device placement table 8-26. placement specifications ddr2 no. parameter min max unit kod21 x1 1100 mils kod22 y1 500 mils kod24 ddr2 keepout region (1) kod25 clearance from non-ddr2 signal to ddr2 keepout region (2) (3) 4 w (1) ddr2 keepout region to encompass entire ddr2 routing area. (2) non-ddr2 signals allowed within ddr2 keepout region provided they are separated from ddr2 routing layers by a ground plane. (3) if a device has more than one ddr controller, the signals from the other controller(s) are considered non-ddr2 and should be separated by this specification. x1 y1 pcb_ddr2_3 ddr2 controller a1 ddr2 memory
222 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.8.2.2.5 ddr2 keepout region the region of the pcb used for the ddr2 circuitry must be isolated from other signals. the ddr2 keepout region is defined for this purpose and is shown in figure 8-41 . the size of this region varies with the placement and ddr routing. additional clearances required for the keepout region are shown in table 8-26 . the region shown in table 8-26 should encompass all the ddr2 circuitry and varies depending on placement. non-ddr2 signals should not be routed on the ddr signal layers within the ddr2 keepout region. non-ddr2 signals may be routed in the region, provided they are routed on layers separated from ddr2 signal layers by a ground layer. no breaks should be allowed in the reference ground layers in this region. in addition, the vdds_ddr x power plane should cover the entire keepout region. routes for the two ddr interfaces must be separated by at least 4x; the more separation, the better. figure 8-41. ddr2 keepout region 8.8.2.2.6 bulk bypass capacitors bulk bypass capacitors are required for moderate speed bypassing of the ddr2 and other circuitry. table 8-27 contains the minimum numbers and capacitance required for the bulk bypass capacitors. note that this table only covers the bypass needs of the ddr2 interfaces and ddr2 device. additional bulk bypass capacitance may be needed for other circuitry. table 8-27. bulk bypass capacitors no. parameter min typ max unit bc21 vdds_ddrx bulk bypass capacitor ( 1 f) count (1) 10 devices bc22 vdds_ddrx bulk bypass total capacitance 50 f (1) these devices should be placed near the devices they are bypassing, but preference should be given to the placement of the high- speed (hs) bypass capacitors and ddr2 signal routing. 8.8.2.2.7 high-speed bypass capacitors ti recommends that a pdn/power integrity analysis is performed to ensure that capacitor selection and placement is optimal for a given implementation. this section provides guidelines that can serve as a good starting point. pcb_ddr2_4 ddr2 controller ddr2 device device a1 ddr2 controller
223 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated high-speed (hs) bypass capacitors are critical for proper ddr2 interface operation. it is particularly important to minimize the parasitic series inductance of the hs bypass capacitors, processor/ddr power, and processor/ddr ground connections. table 8-28 contains the specification for the hs bypass capacitors as well as for the power connections on the pcb. generally speaking, it is good to: 1. fit as many hs bypass capacitors as possible. 2. hs bypass capacitor value is < 1 f 3. minimize the distance from the bypass cap to the pins/balls being bypassed. 4. use the smallest physical sized capacitors possible with the highest capacitance readily available. 5. connect the bypass capacitor pads to their vias using the widest traces possible and using the largest hole size via possible. 6. minimize via sharing. note the limites on via sharing shown in table 8-28 . table 8-28. high-speed bypass capacitors no. parameter min typ max unit hs21 hs bypass capacitor package size (1) 0201 0402 10 mils hs22 distance, hs bypass capacitor to processor being bypassed (2) (3) (4) 400 (12) mils hs23 processor hs bypass capacitor count (12) 12 (11) devices hs24 processor hs bypass capacitor total capacitance per vdds_ddrx rail (12) 3.4 f hs25 number of connection vias for each device power/ground ball per vdds_ddrx rail (5) 1 vias hs26 trace length from device power/ground ball to connection via (2) 35 70 mils hs27 distance, hs bypass capacitor to ddr device being bypassed (6) 150 mils hs28 number of connection vias for each hs capacitor (8) (9) 4 (14) vias hs29 ddr2 device hs bypass capacitor count (7) 12 (13) devices hs210 ddr2 device hs bypass capacitor total capacitance (7) 0.85 f hs211 trace length from bypass capacitor connect to connection via (2) (9) 35 100 mils hs212 number of connection vias for each ddr2 device power/ground ball (10) 1 vias hs213 trace length from ddr2 device power/ground ball to connection via (2) (8) 35 60 mils (1) lxw, 10-mil units, that is, a 0402 is a 40x20-mil surface-mount capacitor. (2) closer/shorter is better. (3) measured from the nearest processor power/ground ball to the center of the capacitor package. (4) three of these capacitors should be located underneath the processor, between the cluster of vdds_ddr x balls and ground balls, between the ddr interfaces on the package. (5) see the via channel ? escape for the processor package. (6) measured from the ddr2 device power/ground ball to the center of the capacitor package. (7) per ddr2 device. (8) an additional hs bypass capacitor can share the connection vias only if it is mounted on the opposite side of the board. no sharing of vias is permitted on the same side of the board. (9) an hs bypass capacitor may share a via with a ddr device mounted on the same side of the pcb. a wide trace should be used for the connection and the length from the capacitor pad to the ddr device pad should be less than 150 mils. (10) up to a total of two pairs of ddr power/ground balls may share a via. (11) the capacitor recommendations in this data manual reflect only the needs of this processor. please see the memory vendor ? s guidelines for determining the appropriate decoupling capacitor arrangement for the memory device itself. (12) for more information, see section 8.3 , core power domains (13) for more information refer to ddr2 specification. (14) preferred configuration is 4 vias: 2 to power and 2 to ground.
224 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.8.2.2.8 net classes table 8-29 lists the clock net classes for the ddr2 interface. table 8-30 lists the signal net classes, and associated clock net classes, for the signals in the ddr2 interface. these net classes are used for the termination and routing rules that follow. table 8-29. clock net class definitions clock net class pin names ck ddr x _ck / ddr x _nck dqs0 ddr x _dqs0 / ddr x _dqsn0 dqs1 ddr x _dqs1 / ddr x _dqsn1 dqs2 (1) ddr x _dqs2 / ddr x _dqsn2 dqs3 (1) ddr x _dqs3 / ddr x _dqsn3 (1) only used on 32-bit wide ddr2 memory systems. table 8-30. signal net class definitions signal net class associated clock net class pin names addr_ctrl ck ddr x _ba[2:0], ddr x _a[14:0], ddr x _csn j , ddr x _casn, ddr x _rasn, ddr x _wen, ddr x _cke, ddr x _odt i dq0 dqs0 ddr x _d[7:0], ddr x _dqm0 dq1 dqs1 ddr x _d[15:8], ddr x _dqm1 dq2 (1) dqs2 ddr x _d[23:16], ddr x _dqm2 dq3 (1) dqs3 ddr x _d[31:24], ddr x _dqm3 (1) only used on 32-bit wide ddr2 memory systems. 8.8.2.2.9 ddr2 signal termination signal terminators are not required in ck, addr_ctrl, and data net classes. serial terminators may be used to reduce emi risk; however, serial terminations are the only type permitted. odts are integrated on the data byte net classes. they should be enabled to ensure signal integrity. table 8-31 shows the specifications for the series terminators. table 8-31. ddr2 signal terminations no. parameter min typ max unit st21 ck net class (1) (2) 0 10 ? st22 addr_ctrl net class (1) (2) (3) (4) 0 zo ? st23 data byte net classes (dqs0-dqs3, dq0-dq3) (5) 0 zo ? (1) only series termination is permitted, parallel or sst specifically disallowed on board. (2) only required for emi reduction. (3) terminator values larger than typical only recommended to address emi issues. (4) termination value should be uniform across net class. (5) no external terminations allowed for data byte net classes odt is to be used. 8.8.2.2.10 vref routing vref (ddr x _vref0) is used as a reference by the input buffers of the ddr2 memories. vref is intended to be half the ddr2 power supply voltage and should be created using a resistive divider as shown in figure 8-39 . other methods of creating vref are not recommended. figure 8-42 shows the layout guidelines for vref.
225 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-42. vref routing and topology 8.8.2.3 ddr2 ck and addr_ctrl routing figure 8-43 shows the topology of the routing for the ck and addr_ctrl net classes. the route is a point to point connection with required skew matching. figure 8-43. ck and addr_ctrl routing and topology table 8-32. ck and addr_ctrl routing specification no. parameter min max unit rsc21 center-to-center ddr x _ck - ddr x _nck spacing 2w rsc22 ddr x _ck / ddr x _nck skew 5 ps rsc25 center-to-center ck to other ddr2 trace spacing (2) 4w rsc26 ck/addr_ctrl trace length (3) 680 ps rsc27 addr_ctrl-to-ck skew mismatch 25 ps rsc28 addr_ctrl-to-addr_ctrl skew mismatch 25 ps rsc29 center-to-center addr_ctrl to other ddr2 trace spacing (2) 4w pcb_ddr2_6 ddr2 controller ddr2 device a pcb_ddr2_5 ddr2 controller ddr2 device vref bypass capacitor neck down to minimum in bga escape regions is acceptable. narrowing to accomodate via congestion for short distances is also acceptable. best performance is obtained if the width of vref is maximized. vref nominal max trace width is 20 mils 1 k 1% vdds_ddrx (a) vref 0.1 f 0.1 f 1 k 1% a. vdds_ddrx is the power supply for the ddr2 memories and the device ddr2 interface.
226 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated table 8-32. ck and addr_ctrl routing specification (continued) no. parameter min max unit rsc210 center-to-center addr_ctrl to other addr_ctrl trace spacing (2) 3w (1) series terminator, if used, should be located closest to the device. (2) center-to-center spacing is allowed to fall to minimum 2w for up to 500 mils of routed length to accommodate bga escape and routing congestion. (3) this is the longest routing length of the ck and addr_ctrl net classes. figure 8-44 shows the topology and routing for the dqs and dq net classes; the routes are point to point. skew matching across bytes is not needed nor recommended. the termination resistor should be placed near the processor. figure 8-44. dqs and dq routing and topology table 8-33. dqs and dq routing specification no. parameter min max unit rsdq21 center-to-center dqs-dqsn spacing in e0|e1|e2|e3 2w rsdq22 dqs-dqsn skew in e0|e1|e2|e3 5 ps rsdq23 center-to-center dqs to other ddr2 trace spacing (1) 4w rsdq24 dqs/dq trace length (2) (3) (4) 325 ps rsdq25 dq-to-dqs skew mismatch (2) (3) (4) 10 ps rsdq26 dq-to-dq skew mismatch (2) (3) (4) 10 ps rsdq27 dq-to-dq/dqs via count mismatch (2) (3) (4) 1 vias rsdq28 center-to-center dq to other ddr2 trace spacing (1) (5) 4w rsdq29 center-to-center dq to other dq trace spacing (1) (6) (7) 3w rsdq210 dq/dqs e skew mismatch (2) (3) (4) 25 ps (1) center-to-center spacing is allowed to fall to minimum 2w for up to 500 mils of routed length to accommodate bga escape and routing congestion. (2) a 16-bit ddr memory system has two sets of data net classes; one for data byte 0, and one for data byte 1, each with an associated dqs (2 dqss) per ddr emif used. (3) a 32-bit ddr memory system has four sets of data net classes; one each for data bytes 0 through 3, and each associated with a dqs (4 dqss) per ddr emif used. (4) there is no need, and it is not recommended, to skew match across data bytes; that is, from dqs0 and data byte 0 to dqs1 and data byte1. (5) dqs from other dqs domains are considered other ddr2 trace . (6) dqs from other data bytes are considered other ddr2 trace . (7) this is the longest routing distance of each of the dqs and dq net classes. 8.9 ddr3 board design and layout guidelines pcb_ddr2_7 ddr2 controller ddr2 device e0 e1 e2 e3
227 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.9.1 ddr3 general board layout guidelines to help ensure good signaling performance, consider the following board design guidelines: ? avoid crossing splits in the power plane. ? use the widest trace that is practical between decoupling capacitors and memory module. ? maintain a single reference ? minimize isi by keeping impedances matched. ? minimize crosstalk by isolating sensitive bits, such as strobes, and avoiding return path discontinuities. ? keep the stub length as short as possible. ? add additional spacing for on-clock and strobe nets to eliminate crosstalk. ? maintain a common ground reference for all bypass and decoupling capacitors. ? take into account the differences in propagation delays between microstrip and stripline nets when evaluating timing constraints. 8.9.2 ddr3 board design and layout guidelines 8.9.2.1 board designs ti only supports board designs using ddr3 memory that follow the guidelines in this document. the switching characteristics and timing diagram for the ddr3 memory controller are shown in table 8-34 and figure 8-45 . table 8-34. switching characteristics over recommended operating conditions for ddr3 memory controller no. parameter min max unit 1 t c(ddr_clk) cycle time, ddr_clk 1.875 2.5 (1) ns (1) this is the absolute maximum the clock period can be. actual maximum clock period may be limited by ddr3 speed grade and operating frequency (see the ddr3 memory device data sheet). figure 8-45. ddr3 memory controller clock timing 8.9.2.2 ddr3 device combinations there are several possible combinations of device counts and single- or dual-side mounting, table 8-35 summarizes the supported device configurations. table 8-35. supported ddr3 device combinations number of ddr3 devices ddr3 device width (bits) ecc device width (bits) mirrored? ddr3 emif width (bits) 1 1x16 - n 16 2 2x8 - y (1) 16 2 2x16 - n 32 2 2x16 - y (1) 32 2 1x16 1x8 n 16 3 2x8 1x8 n 16 3 2x16 1x8 n 32 ddr_clk 1 sprs91v_pcb_ddr3_01
228 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated (1) two ddr3 devices are mirrored when one device is placed on the top of the board and the second device is placed on the bottom of the board. 8.9.2.3 ddr3 interface schematic 8.9.2.3.1 32-bit ddr3 interface the ddr3 interface schematic varies, depending upon the width of the ddr3 devices used and the width of the bus used (16 or 32 bits). general connectivity is straightforward and very similar. 16-bit ddr devices look like two 8-bit devices. figure 8-46 and show the schematic connections for 32-bit interfaces using x16 devices. 8.9.2.3.2 16-bit ddr3 interface note that the 16-bit wide interface schematic is practically identical to the 32-bit interface (see figure 8- 46 ); only the high-word ddr memories are removed and the unused dqs inputs are tied off. when not using all or part of a ddr interface, the proper method of handling the unused pins is to tie off the ddrx_dqs i pins to ground via a 1k- ? resistor and to tie off the ddrx_dqsn i pins to the corresponding vdds_ddr x supply via a 1k- ? resistor. this needs to be done for each byte not used. although these signals have internal pullups and pulldowns, external pullups and pulldowns provide additional protection against external electrical noise causing activity on the signals. the vdds_ddr and vdds18v_ddrx power supply pins need to be connected to their respective power supplies even if upper data byte lanes are not being used. all other ddr interface pins can be left unconnected. note that the supported modes for use of the ddr emif are 32-bits wide, 16-bits wide, or not used.
229 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-46. 32-bit, one-bank ddr3 interface schematic using two 16-bit ddr3 devices dq15dq8 udm udqs udqs dq7dq0 ldm ldqs ldqs ck dq15udm udqs udqs ddrx_d31ddrx_d24 16-bit ddr3 devices 0.1 f 0.1 f 32-bit ddr3 emif ddrx_dqm3 ddrx_dqs3 ddrx_dqsn3 ddrx_d23ddrx_d16 ddrx_dqm2 ddrx_dqs2 ddrx_dqsn2 ddrx_d15 ddrx_d8 ddrx_dqm1 ddrx_dqs1 ddrx_dqsn1 ddrx_d7ddrx_d0 ddrx_dqm0 ddrx_dqs0 ddrx_dqsn0 ddrx_ck ddrx_nck ddrx_odt0 ddrx_csn0 ddrx_ba0ddrx_ba1 ddrx_ba2 ddrx_a0 ddrx_a15 ddrx_casn ddrx_rasn ddrx_wen ddrx_cke ddrx_rst 8 88 8 16 dq8 dq7d08 ldmldqs ldqs ck odt ba1 ba0ba2 cs a0 a15 cas ras we rst cke zq vrefdq vrefca zq ck ckodt ba1 ba0ba2 cs a0a15 cas ras we rst cke zq vrefdqvrefca zq zo zo zo zo ddr_vref ddr_vtt ddr_1v5 termination is required. see terminator comments. zo value determined according to the ddr memory device data sheet. zq 0.1 f
230 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.9.2.4 compatible jedec ddr3 devices table 8-36 shows the parameters of the jedec ddr3 devices that are compatible with this interface. generally, the ddr3 interface is compatible with ddr3-1066 devices in the x8 or x16 widths. table 8-36. compatible jedec ddr3 devices n o. parameter condition min max unit 1 jedec ddr3 device speed grade (1) ddr clock rate = 400mhz ddr3-800 ddr3-1600 400mhz < ddr clock rate 533mhz ddr3-1066 ddr3-1600 2 jedec ddr3 device bit width x8 x16 bits 3 jedec ddr3 device count (2) 1 3 devices (1) refer to table 8-34 switching characteristics over recommended operating conditions for ddr3 memory controller for the range of supported ddr clock rates. (2) for valid ddr3 device configurations and device counts, see table 8-35 ddr3 device combinations . 8.9.2.5 pcb stackup the minimum stackup for routing the ddr3 interface is a six-layer stack up as shown in table 8-37 . additional layers may be added to the pcb stackup to accommodate other circuitry, enhance si/emi performance, or to reduce the size of the pcb footprint. complete stackup specifications are provided in table 8-38 . table 8-37. six-layer pcb stackup suggestion layer type description 1 signal top routing mostly vertical 2 plane ground 3 plane split power plane 4 plane split power plane or internal routing 5 plane ground 6 signal bottom routing mostly horizontal
231 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated table 8-38. pcb stackup specifications no. parameter min typ max unit ps1 pcb routing/plane layers 6 ps2 signal routing layers 3 ps3 full ground reference layers under ddr3 routing region (1) 1 ps4 full 1.5-v power reference layers under the ddr3 routing region (1) 1 ps5 number of reference plane cuts allowed within ddr routing region (2) 0 ps6 number of layers between ddr3 routing layer and reference plane (3) 0 ps7 pcb routing feature size 4 mils ps8 pcb trace width, w 4 mils ps9 single-ended impedance, zo 50 75 ? ps10 impedance control (5) z-5 z z+5 ? (1) ground reference layers are preferred over power reference layers. be sure to include bypass caps to accommodate reference layer return current as the trace routes switch routing layers. (2) no traces should cross reference plane cuts within the ddr routing region. high-speed signal traces crossing reference plane cuts create large return current paths which can lead to excessive crosstalk and emi radiation. (3) reference planes are to be directly adjacent to the signal plane to minimize the size of the return current loop. (4) an 18-mil pad assumes via channel is the most economical bga escape. a 20-mil pad may be used if additional layers are available for power routing. an 18-mil pad is required for minimum layer count escape. (5) z is the nominal singled-ended impedance selected for the pcb specified by ps9. 8.9.2.6 placement figure 8-47 shows the required placement for the processor as well as the ddr3 devices. the dimensions for this figure are defined in table 8-39 . the placement does not restrict the side of the pcb on which the devices are mounted. the ultimate purpose of the placement is to limit the maximum trace lengths and allow for proper routing space. for a 16-bit ddr memory system, the high-word ddr3 devices are omitted from the placement. figure 8-47. placement specifications sprs91v_pcb_ddr3_04 x1 y2 y2 ddr3 controller three devices
232 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated table 8-39. placement specifications no. parameter min max unit kod31 x1 1700 mils kod34 y1 1800 mils kod35 y2 600 mils kod36 ddr3 keepout region (1) kod37 clearance from non- ddr3 signal to ddr3 keepout region (2) (3) 4 w (1) ddr3 keepout region to encompass entire ddr3 routing area. (2) non-ddr3 signals allowed within ddr3 keepout region provided they are separated from ddr3 routing layers by a ground plane. (3) if a device has more than one ddr controller, the signals from the other controller(s) are considered non-ddr3 and should be separated by this specification. 8.9.2.7 ddr3 keepout region the region of the pcb used for ddr3 circuitry must be isolated from other signals. the ddr3 keepout region is defined for this purpose and is shown in figure 8-48 . the size of this region varies with the placement and ddr routing. additional clearances required for the keepout region are shown in table 8- 39 . non-ddr3 signals should not be routed on the ddr signal layers within the ddr3 keepout region. non-ddr3 signals may be routed in the region, provided they are routed on layers separated from the ddr signal layers by a ground layer. no breaks should be allowed in the reference ground layers in this region. in addition, the 1.5-v ddr3 power plane should cover the entire keepout region. also note that the two signals from the ddr3 controller should be separated from each other by the specification in table 8- 39 (see kod37 ).
233 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-48. ddr3 keepout region 8.9.2.8 bulk bypass capacitors bulk bypass capacitors are required for moderate speed bypassing of the ddr3 and other circuitry. table 8-40 contains the minimum numbers and capacitance required for the bulk bypass capacitors. note that this table only covers the bypass needs of the ddr3 controllers and ddr3 devices. additional bulk bypass capacitance may be needed for other circuitry. sprs91v_pcb_ddr3_05 three devices ddr3 keepout region ddr3 controller
234 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated table 8-40. bulk bypass capacitors no. parameter min max unit 1 vdds_ddr x bulk bypass capacitor count (1) 1 devices 2 vdds_ddr x bulk bypass total capacitance 22 f (1) these devices should be placed near the devices they are bypassing, but preference should be given to the placement of the high- speed (hs) bypass capacitors and ddr3 signal routing. 8.9.2.9 high-speed bypass capacitors high-speed (hs) bypass capacitors are critcal for proper ddr3 interface operation. it is particularly important to minimize the parasitic series inductance of the hs bypass capacitors, processor/ddr power, and processor/ddr ground connections. table 8-41 contains the specification for the hs bypass capacitors as well as for the power connections on the pcb. generally speaking, it is good to: 1. fit as many hs bypass capacitors as possible. 2. minimize the distance from the bypass cap to the pins/balls being bypassed. 3. use the smallest physical sized capacitors possible with the highest capacitance readily available. 4. connect the bypass capacitor pads to their vias using the widest traces possible and using the largest hole size via possible. 5. minimize via sharing. note the limites on via sharing shown in table 8-41 . table 8-41. high-speed bypass capacitors no. parameter min typ max unit 1 hs bypass capacitor package size (1) 0201 0402 10 mils 2 distance, hs bypass capacitor to processor being bypassed (2) (3) (4) 400 mils 3 processor hs bypass capacitor count per vdds_ddrx rail (12) see table 8-3 and (11) devices 4 processor hs bypass capacitor total capacitance per vdds_ddrx rail (12) see table 8-3 and (11) f 5 number of connection vias for each device power/ground ball (5) vias 6 trace length from device power/ground ball to connection via (2) 35 70 mils 7 distance, hs bypass capacitor to ddr device being bypassed (6) 150 mils 8 ddr3 device hs bypass capacitor count (7) 12 devices 9 ddr3 device hs bypass capacitor total capacitance (7) 0.85 f 10 number of connection vias for each hs capacitor (8) (9) 2 vias 11 trace length from bypass capacitor connect to connection via (2) (9) 35 100 mils 12 number of connection vias for each ddr3 device power/ground ball (10) 1 vias 13 trace length from ddr3 device power/ground ball to connection via (2) (8) 35 60 mils (1) lxw, 10-mil units, that is, a 0402 is a 40x20-mil surface-mount capacitor. (2) closer/shorter is better. (3) measured from the nearest processor power/ground ball to the center of the capacitor package. (4) three of these capacitors should be located underneath the processor, between the cluster of ddr_1v5 balls and ground balls, between the ddr interfaces on the package. (5) see the via channel ? escape for the processor package. (6) measured from the ddr3 device power/ground ball to the center of the capacitor package. (7) per ddr3 device. (8) an additional hs bypass capacitor can share the connection vias only if it is mounted on the opposite side of the board. no sharing of vias is permitted on the same side of the board. (9) an hs bypass capacitor may share a via with a ddr device mounted on the same side of the pcb. a wide trace should be used for the connection and the length from the capacitor pad to the ddr device pad should be less than 150 mils. (10) up to a total of two pairs of ddr power/ground balls may share a via. (11) the capacitor recommendations in this data manual reflect only the needs of this processor. please see the memory vendor ? s guidelines for determining the appropriate decoupling capacitor arrangement for the memory device itself. (12) for more information, see section 8.3 , core power domains .
235 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.9.2.9.1 return current bypass capacitors use additional bypass capacitors if the return current reference plane changes due to ddr3 signals hopping from one signal layer to another. the bypass capacitor here provides a path for the return current to hop planes along with the signal. as many of these return current bypass capacitors should be used as possible. these are returns for signal current, the signal via size may be used for these capacitors. 8.9.2.10 net classes table 8-42 lists the clock net classes for the ddr3 interface. table 8-43 lists the signal net classes, and associated clock net classes, for signals in the ddr3 interface. these net classes are used for the termination and routing rules that follow. table 8-42. clock net class definitions clock net class processor pin names ck ddrx_ck/ddrx_nck dqs0 ddrx_dqs0 / ddrx_dqsn0 dqs1 ddrx_dqs1 / ddrx_dqsn1 dqs2 (1) ddrx_dqs2 / ddrx_dqsn2 dqs3 (1) ddrx_dqs3 / ddrx_dqsn3 (1) only used on 32-bit wide ddr3 memory systems. table 8-43. signal net class definitions signal net class associated clock net class processor pin names addr_ctrl ck ddr x _ba[2:0], ddr x _a[15:0], ddr x _csn j , ddr x _casn, ddr x _rasn, ddr x _wen, ddr x _cke, ddrx_odt i dq0 dqs0 ddr x _d[7:0], ddr x _dqm0 dq1 dqs1 ddr x _d[15:8], ddr x _dqm1 dq2 (1) dqs2 ddr x _d[23:16], ddr x _dqm2 dq3 (1) dqs3 ddr x _d[31:24], ddr x _dqm3 (1) only used on 32-bit wide ddr3 memory systems. 8.9.2.11 ddr3 signal termination signal terminators are required for the ck and addr_ctrl net classes. the data lines are terminated by odt and, thus, the pcb traces should be unterminated. detailed termination specifications are covered in the routing rules in the following sections. 8.9.2.12 vtt like vref, the nominal value of the vtt supply is half the ddr3 supply voltage. unlike vref, vtt is expected to source and sink current, specifically the termination current for the addr_ctrl net class thevinen terminators. vtt is needed at the end of the address bus and it should be routed as a power sub-plane. vtt should be bypassed near the terminator resistors. 8.9.2.13 ck and addr_ctrl topologies and routing definition the ck and addr_ctrl net classes are routed similarly and are length matched to minimize skew between them. ck is a bit more complicated because it runs at a higher transition rate and is differential. the following subsections show the topology and routing for various ddr3 configurations for ck and addr_ctrl. the figures in the following subsections define the terms for the routing specification detailed in table 8-44 .
236 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.9.2.13.1 three ddr3 devices three ddr3 devices are supported on the ddr emif consisting of two x16 ddr3 devices and one device for ecc, arranged as one bank (cs). these three devices may be mounted on a single side of the pcb, or may be mirrored in two pairs to save board space at a cost of increased routing complexity and parts on the backside of the pcb. 8.9.2.13.1.1 ck and addr_ctrl topologies, three ddr3 devices figure 8-49 shows the topology of the ck net classes and figure 8-50 shows the topology for the corresponding addr_ctrl net classes. figure 8-49. ck topology for three ddr3 devices figure 8-50. addr_ctrl topology for three ddr3 devices 8.9.2.13.1.2 ck and addr_ctrl routing, three ddr3 devices figure 8-51 shows the ck routing for three ddr3 devices placed on the same side of the pcb. figure 8- 52 shows the corresponding addr_ctrl routing. as- as+ as- as+ a1 a2 processor differential clock output buffer ddr differential ck input buffers routed as differential pair a3 a4 at rcp clock parallel terminator a1 a2 a3 a4 at as- as+ rcp cac ddr_1v5 0.1 f + C + C + C + C sprs91v_pcb_ddr3_06 a1 a2 processor address and control output buffer ddr address and control input buffers a3 a4 at vtt address and control terminator rtt as as as sprs91v_pcb_ddr3_07
237 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-51. ck routing for three single-side ddr3 devices figure 8-52. addr_ctrl routing for three single-side ddr3 devices to save pcb space, the two ddr3 memories may be mounted as one mirrored pair at a cost of increased routing and assembly complexity. figure 8-53 and figure 8-54 show the routing for ck and addr_ctrl, respectively, for two ddr3 devices mirrored in a pair configuration. as = rtt a1 a2 a3 a4 at vtt sprs91v_pcb_ddr3_09 as+ as- = rcprcp cac ddr_1v5 0.1 f a1 a2 a3 a4 a2 a3 a4 a1 at at sprs91v_pcb_ddr3_08
238 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-53. ck routing for two mirrored ddr3 devices figure 8-54. addr_ctrl routing for two mirrored ddr3 devices 8.9.2.13.2 two ddr3 devices two ddr3 devices are supported on the ddr emif consisting of two x8 ddr3 devices arranged as one bank (cs), 16 bits wide, or two x16 ddr3 devices arranged as one bank (cs), 32 bits wide. these two devices may be mounted on a single side of the pcb, or may be mirrored in a pair to save board space at a cost of increased routing complexity and parts on the backside of the pcb. 8.9.2.13.2.1 ck and addr_ctrl topologies, two ddr3 devices figure 8-55 shows the topology of the ck net classes and figure 8-56 shows the topology for the corresponding addr_ctrl net classes. as+ as- = rcprcp cac ddr_1v5 0.1 f a1 a2 a3 a2 a3 a1 at at sprs91v_pcb_ddr3_10 as = rtt a1 a2 a3 at vtt sprs91v_pcb_ddr3_11
239 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-55. ck topology for two ddr3 devices figure 8-56. addr_ctrl topology for two ddr3 devices 8.9.2.13.2.2 ck and addr_ctrl routing, two ddr3 devices figure 8-57 shows the ck routing for two ddr3 devices placed on the same side of the pcb. figure 8-58 shows the corresponding addr_ctrl routing. a1 a2 processor address and control output buffer ddr address and control input buffers a3 at vtt address and control terminator rtt as as sprs91v_pcb_ddr3_13 as- as+ a1 a2 processor differential clock output buffer ddr differential ck input buffers routed as differential pair a3 at rcp clock parallel terminator a1 a2 a3 at as- as+ rcp cac ddr_1v5 0.1 f + C + C + C sprs91v_pcb_ddr3_12
240 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-57. ck routing for two single-side ddr3 devices figure 8-58. addr_ctrl routing for two single-side ddr3 devices to save pcb space, the two ddr3 memories may be mounted as a mirrored pair at a cost of increased routing and assembly complexity. figure 8-59 and figure 8-60 show the routing for ck and addr_ctrl, respectively, for two ddr3 devices mirrored in a single-pair configuration. as = rtt a1 a2 a3 at vtt sprs91v_pcb_ddr3_15 as+ as- = rcprcp cac ddr_1v5 0.1 f a1 a2 a3 at a2 a3 at a1 sprs91v_pcb_ddr3_14
241 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-59. ck routing for two mirrored ddr3 devices figure 8-60. addr_ctrl routing for two mirrored ddr3 devices 8.9.2.13.3 one ddr3 device a single ddr3 device is supported on the ddr emif consisting of one x16 ddr3 device arranged as one bank (cs), 16 bits wide. 8.9.2.13.3.1 ck and addr_ctrl topologies, one ddr3 device figure 8-61 shows the topology of the ck net classes and figure 8-62 shows the topology for the corresponding addr_ctrl net classes. as = rtt a1 a2 a3 at vtt sprs91v_pcb_ddr3_17 as+ as- = rcprcp cac ddr_1v5 0.1 f a1 a2 a3 at a2 a3 at a1 sprs91v_pcb_ddr3_16
242 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-61. ck topology for one ddr3 device figure 8-62. addr_ctrl topology for one ddr3 device 8.9.2.13.3.2 ck and addr/ctrl routing, one ddr3 device figure 8-63 shows the ck routing for one ddr3 device placed on the same side of the pcb. figure 8-64 shows the corresponding addr_ctrl routing. a1 a2 processor address and control output buffer ddr address and control input buffers at vtt address and control terminator rtt as sprs91v_pcb_ddr3_19 a1 a2 processor differential clock output buffer ddr differential ck input buffer routed as differential pair at rcp clock parallel terminator a1 a2 at as- as+ rcp cac ddr_1v5 0.1 f + C + C sprs91v_pcb_ddr3_18
243 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-63. ck routing for one ddr3 device figure 8-64. addr_ctrl routing for one ddr3 device 8.9.2.14 data topologies and routing definition no matter the number of ddr3 devices used, the data line topology is always point to point, so its definition is simple. care should be taken to minimize layer transitions during routing. if a layer transition is necessary, it is better to transition to a layer using the same reference plane. if this cannot be accommodated, ensure there are nearby ground vias to allow the return currents to transition between reference planes if both reference planes are ground or vdds_ddr. ensure there are nearby bypass capacitors to allow the return currents to transition between reference planes if one of the reference planes is ground. the goal is to minimize the size of the return current loops. as = rtt a1 a2 at vtt sprs91v_pcb_ddr3_21 as+ as- = rcprcp cac ddr_1v5 0.1 f a1 a2 at a2 at a1 sprs91v_pcb_ddr3_20
244 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.9.2.14.1 dqs and dq/dm topologies, any number of allowed ddr3 devices dqs lines are point-to-point differential, and dq/dm lines are point-to-point singled ended. figure 8-65 and figure 8-66 show these topologies. figure 8-65. dqs topology figure 8-66. dq/dm topology 8.9.2.14.2 dqs and dq/dm routing, any number of allowed ddr3 devices figure 8-67 and figure 8-68 show the dqs and dq/dm routing. figure 8-67. dqs routing with any number of allowed ddr3 devices processor dqs io buffer ddrdqs io buffer routed differentially n = 0, 1, 2, 3 dqsn- dqsn+ sprs91v_pcb_ddr3_22 dqsn+ dqsn- n = 0, 1, 2 routed differentially dqs sprs91v_pcb_ddr3_24 dn processor dq and dm io buffer ddrdq and dm io buffer n = 0, 1, 2, 3 sprs91v_pcb_ddr3_23
245 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated figure 8-68. dq/dm routing with any number of allowed ddr3 devices 8.9.2.15 routing specification 8.9.2.15.1 ck and addr_ctrl routing specification skew within the ck and addr_ctrl net classes directly reduces setup and hold margin and, thus, this skew must be controlled. the only way to practically match lengths on a pcb is to lengthen the shorter traces up to the length of the longest net in the net class and its associated clock. a metric to establish this maximum length is manhattan distance. the manhattan distance between two points on a pcb is the length between the points when connecting them only with horizontal or vertical segments. a reasonable trace route length is to within a percentage of its manhattan distance. caclm is defined as clock address control longest manhattan distance. given the clock and address pin locations on the processor and the ddr3 memories, the maximum possible manhattan distance can be determined given the placement. figure 8-69 and figure 8-70 show this distance for three loads and two loads, respectively. it is from this distance that the specifications on the lengths of the transmission lines for the address bus are determined. caclm is determined similarly for other address bus configurations; that is, it is based on the longest net of the ck/addr_ctrl net class. for ck and addr_ctrl routing, these specifications are contained in table 8-44 . dn n = 0, 1, 2 dq and dm sprs91v_pcb_ddr3_25
246 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated a. it is very likely that the longest ck/addr_ctrl manhattan distance will be for address input 8 (a8) on the ddr3 memories. caclm is based on the longest manhattan distance due to the device placement. verify the net class that satisfies this criteria and use as the baseline for ck/addr_ctrl skew matching and length control. the length of shorter ck/addr_ctrl stubs as well as the length of the terminator stub are not included in this length calculation. non-included lengths are grayed out in the figure. assuming a8 is the longest, calm = caclmy + caclmx + 300 mils. the extra 300 mils allows for routing down lower than the ddr3 memories and returning up to reach a8. figure 8-69. caclm for three address loads on one side of pcb as = rtt a1 a2 a3 a4 at vtt a8 (a) a8 (a) a8 (a) a8 (a) caclmx caclmy sprs91v_pcb_ddr3_26
247 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated a. it is very likely that the longest ck/addr_ctrl manhattan distance will be for address input 8 (a8) on the ddr3 memories. caclm is based on the longest manhattan distance due to the device placement. verify the net class that satisfies this criteria and use as the baseline for ck/addr_ctrl skew matching and length control. the length of shorter ck/addr_ctrl stubs as well as the length of the terminator stub are not included in this length calculation. non-included lengths are grayed out in the figure. assuming a8 is the longest, calm = caclmy + caclmx + 300 mils. the extra 300 mils allows for routing down lower than the ddr3 memories and returning up to reach a8. figure 8-70. caclm for two address loads on one side of pcb table 8-44. ck and addr_ctrl routing specification (2) (3) no. parameter min typ max unit cars31 a1+a2 length 500 (1) ps cars32 a1+a2 skew 29 ps cars33 a3 length 125 ps cars34 a3 skew (4) 6 ps cars35 a3 skew (5) 6 ps cars36 a4 length 125 ps cars37 a4 skew 6 ps cars38 as length 5 17 (1) ps cars39 as skew 1.3 14 (1) ps cars310 as+/as- length 5 12 ps cars311 as+/as- skew 1 ps cars312 at length (6) 75 ps cars313 at skew (7) 14 ps cars314 at skew (8) 1 ps cars315 ck/addr_ctrl trace length 1020 ps cars316 vias per trace 3 (1) vias cars317 via count difference 1 (15) vias cars318 center-to-center ck to other ddr3 trace spacing (9) 4w cars319 center-to-center addr_ctrl to other ddr3 trace spacing (9) (10) 4w cars320 center-to-center addr_ctrl to other addr_ctrl trace spacing (9) 3w as = rtt a1 a2 a3 at vtt a8 (a) a8 (a) a8 (a) caclmx caclmy sprs91v_pcb_ddr3_27
248 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated table 8-44. ck and addr_ctrl routing specification (2) (3) (continued) no. parameter min typ max unit cars321 ck center-to-center spacing (11) (12) cars322 ck spacing to other net (9) 4w cars323 rcp (13) zo-1 zo zo+1 cars324 rtt (13) (14) zo-5 zo zo+5 (1) max value is based upon conservative signal integrity approach. this value could be extended only if detailed signal integrity analysis of rise time and fall time confirms desired operation. (2) the use of vias should be minimized. (3) additional bypass capacitors are required when using the ddr_1v5 plane as the reference plane to allow the return current to jump between the ddr_1v5 plane and the ground plane when the net class switches layers at a via. (4) non-mirrored configuration (all ddr3 memories on same side of pcb). (5) mirrored configuration (one ddr3 device on top of the board and one ddr3 device on the bottom). (6) while this length can be increased for convenience, its length should be minimized. (7) addr_ctrl net class only (not ck net class). minimizing this skew is recommended, but not required. (8) ck net class only. (9) center-to-center spacing is allowed to fall to minimum (2w) for up to 1250 mils of routed length. (10) the addr_ctrl net class of the other ddr emif is considered other ddr3 trace spacing . (11) ck spacing set to ensure proper differential impedance. (12) the most important thing to do is control the impedance so inadvertent impedance mismatches are not created. generally speaking, center-to-center spacing should be either 2w or slightly larger than 2w to achieve a differential impedance equal to twice the singleended impedance, zo. (13) source termination (series resistor at driver) is specifically not allowed. (14) termination values should be uniform across the net class. (15) via count difference may increase by 1 only if accurate 3-d modeling of the signal flight times ? including accurately modeled signal propagation through vias ? has been applied to ensure all segment skew maximums are not exceeded. 8.9.2.15.2 dqs and dq routing specification skew within the dqs and dq/dm net classes directly reduces setup and hold margin and thus this skew must be controlled. the only way to practically match lengths on a pcb is to lengthen the shorter traces up to the length of the longest net in the net class and its associated clock. as with ck and addr_ctrl, a reasonable trace route length is to within a percentage of its manhattan distance. dqlmn is defined as dq longest manhattan distance n, where n is the byte number. for a 32-bit interface, there are three dqlms, dqlm0-dqlm2. likewise, for a 16-bit interface, there are two dqlms, dqlm0-dqlm1. note it is not required, nor is it recommended, to match the lengths across all bytes. length matching is only required within each byte. given the dqs and dq/dm pin locations on the processor and the ddr3 memories, the maximum possible manhattan distance can be determined given the placement. figure 8-71 shows this distance for four loads. it is from this distance that the specifications on the lengths of the transmission lines for the data bus are determined. for dqs and dq/dm routing, these specifications are contained in table 8-45 .
249 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated there are three dqlms, one for each byte (32-bit interface). each dqlm is the longest manhattan distance of the byte; therefore: dqlm0 = dqlmx0 + dqlmy0 dqlm1 = dqlmx1 + dqlmy1 dqlm2 = dqlmx2 + dqlmy2 figure 8-71. dqlm for any number of allowed ddr3 devices table 8-45. data routing specification (2) no. parameter min typ max unit drs31 db0 length 340 ps drs32 db1 length 340 ps drs33 db2 length 340 ps drs35 dbn skew (3) 5 ps drs36 dqsn+ to dqsn- skew 1 ps drs37 dqsn to dbn skew (3) (4) 5 (10) ps drs38 vias per trace 2 (1) vias drs39 via count difference 0 (10) vias drs310 center-to-center dbn to other ddr3 trace spacing (6) 4 w (5) drs311 center-to-center dbn to other dbn trace spacing (7) 3 w (5) drs312 dqsn center-to-center spacing (8) (9) drs313 dqsn center-to-center spacing to other net 4 w (5) (1) max value is based upon conservative signal integrity approach. this value could be extended only if detailed signal integrity analysis of rise time and fall time confirms desired operation. (2) external termination disallowed. data termination should use built-in odt functionality. (3) length matching is only done within a byte. length matching across bytes is neither required nor recommended. (4) each dqs pair is length matched to its associated byte. (5) center-to-center spacing is allowed to fall to minimum (2w) for up to 1250 mils of routed length. (6) other ddr3 trace spacing means other ddr3 net classes not within the byte. (7) this applies to spacing within the net classes of a byte. (8) dqs pair spacing is set to ensure proper differential impedance. (9) the most important thing to do is control the impedance so inadvertent impedance mismatches are not created. generally speaking, center-to-center spacing should be either 2w or slightly larger than 2w to achieve a differential impedance equal to twice the singleended impedance, zo. (10) via count difference may increase by 1 only if accurate 3-d modeling of the signal flight times ? including accurately modeled signal propagation through vias ? has been applied to ensure dbn skew and dqsn to dbn skew maximums are not exceeded. dqlmy0 dqlmy2 dqlmx2 db2 2 1 0 dq[16:23]/dm2/dqs2 dqlmx1 db1 db0 dqlmx0 dq[8:15]/dm1/dqs1 dq[0:7]/dm0/dqs0 dqlmy1 db0 - db2 represent data bytes 0 - 2. sprs91v_pcb_ddr3_28
250 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated 8.10 cvideo/sd-dac guidelines and electrical data/timing the device's analog video cvideo/sd-dac tv analog composite output can be operate in one of two modes: normal mode and tvout bypass mode. in normal mode, the device ? s internal video amplifier is used. in tvout bypass mode, the internal video amplifier is bypassed and an external amplifier is required. figure 8-72 shows a typical circuit that permits connecting the analog video output from the device to standard 75- impedance video systems in normal mode. a. reconstruction filter (optional) b. ac coupling capacitor (optional) figure 8-72. tv output (normal mode) figure 8-73 shows a typical circuit that permits connecting the analog video output from the device to standard 75- impedance video systems in tvout bypass mode. a. reconstruction filter (optional). note: an amplifier with an integrated reconstruction filter can alternatively be used instead of a discrete reconstruction filter. b. ac coupling capacitor (optional) figure 8-73. tv output (tvout bypass mode) during board design, the onboard traces and parasitics must be matched for the channel. the video dac output pins (cvideo_tvout / cvideo_vfb) are very high-frequency analog signals and must be routed with extreme care. as a result, the paths of these signals must be as short as possible, and as isolated as possible from other interfering signals. in tvout bypass mode, the load resistor and amplifier/buffer should be placed as close as possible to the cvideo_vfb pin. other layout guidelines include: ? take special care to bypass the vdda_dac power supply pin with a capacitor. ? in tvout bypass mode , place the r load resistor as close as possible to the reconstruction filter and amplifier. in addition, place the 75- resistor as close as possible ( < 0.5 inch) to the amplifier/buffer output pin. to maintain a high-quality video signal, the onboard traces after the 75- resistor should have a characteristic impedance of 75 ( 20%). ? in normal mode ,cvideo_vfb is the most sensitive pin in the tv out system. the r out resistor should be placed as close as possible to the device pins. to maintain a high-quality video signal, the onboard traces leading to the cvideo_tvout pin should have a characteristic impedance of 75 ( 20%) starting from the closest possible place to the device pin output. ? minimize input trace lengths to the device to reduce parasitic capacitance. ? include solid ground return paths. ? match trace lengths as close as possible within a video format group. r load cvideo_vfb reconstruction filter ~9.5 mhz (a) amplifier 3.7 v/v c ac (b) 75 w r out cvideo_tvout cvideo_vfb reconstruction filter ~9.5 mhz (a) c ac (b)
251 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 applications, implementation, and layout copyright ? 2016 ? 2018, texas instruments incorporated table 8-46 and table 8-47 present the static and dynamic cvideo / sd-dac tv analog composite output specifications table 8-46. static cvideo/sd-dac specifications parameter test conditions min typ max unit reference current setting resistor (r set ) normal mode 4653 4700 4747 tvout bypass mode 9900 10000 10100 output resistor between cvideo_tvout and cvideo_vfb pins (r out ) normal mode 2673 2700 2727 tvout bypass mode n/a load resistor (r load ) normal mode 75- inside the display tvout bypass mode 1485 1500 1515 ac-coupling capacitor (optional) [c ac ] normal mode 220 uf tvout bypass mode see external amplifier specification total capacitance from cvideo_tvout to vssa_dac normal mode 300 pf tvout bypass mode n/a resolution 10 bits integral non-linearity (inl), best fit normal mode -4 4 lsb tvout bypass mode -1 1 lsb differential non-linearity (dnl) normal mode -2.5 2.5 lsb tvout bypass mode -1 1 lsb full-scale output voltage normal mode (r load = 75 ) 1.3 v tvout bypass mode (r load = 1.5 k ) 0.7 v full-scale output current normal mode n/a tvout bypass mode 470 ua gain error normal mode (composite) and tvout bypass mode -10 10 %fs normal mode (s-video) -20 20 %fs gain mismatch (luma-to-chroma) normal mode (composite) n/a normal mode (s-video) -10 10 % output impedance looking into cvideo_tvout nodes 75 table 8-47. dynamic cvideo/sd-dac specifications parameter test conditions min typ max unit output update rate (f clk ) 54 60 mhz signal bandwidth 3 db 6 mhz spurious-free dynamic range (sfdr) within bandwidth f clk = 54 mhz, f out = 1 mhz 50 dbc signal-to-noise ration (snr) f clk = 54 mhz, f out = 1 mhz 54 db power supply rejection (psr) normal mode, 100 mvpp @ 6 mhz on vdda_dac 6 db tvout bypass mode, 100 mvpp @ 6 mhz on vdda_dac 20
252 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 device and documentation support copyright ? 2016 ? 2018, texas instruments incorporated 9 device and documentation support ti offers an extensive line of development tools, including tools to evaluate the performance of the processors, generate code, develop algorithm implementations, and fully integrate and debug software and hardware modules are listed below. 9.1 device nomenclature & orderable information to designate the stages in the product development cycle, ti assigns prefixes to the part numbers of all microprocessors (mpus) and support tools. each device has one of three prefixes: x, p, or null (no prefix) (for example, tda3x). texas instruments recommends two of three possible prefix designators for its support tools: tmdx and tmds. these prefixes represent evolutionary stages of product development from engineering prototypes (tmdx) through fully qualified production devices and tools (tmds). device development evolutionary flow: x experimental device that is not necessarily representative of the final device's electrical specifications and may not use production assembly flow. p prototype device that is not necessarily the final silicon die and may not necessarily meet final electrical specifications. null production version of the silicon die that is fully qualified. support tool development evolutionary flow: tmdx development-support product that has not yet completed texas instruments internal qualification testing. tmds fully-qualified development-support product. x and p devices and tmdx development-support tools are shipped against the following disclaimer: "developmental product is intended for internal evaluation purposes." production devices and tmds development-support tools have been characterized fully, and the quality and reliability of the device have been demonstrated fully. ti's standard warranty applies. predictions show that prototype devices (x or p) have a greater failure rate than the standard production devices. texas instruments recommends that these devices not be used in any production system because their expected end-use failure rate still is undefined. only qualified production devices are to be used. for orderable part numbers of tda3x devices in the abf package type, see the package option addendum of this document, the ti website ( www.ti.com ), or contact your ti sales representative. for additional description of the device nomenclature markings on the die, see the silicon errata (literature number sprz425 ).
253 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 device and documentation support copyright ? 2016 ? 2018, texas instruments incorporated 9.1.1 standard package symbolization figure 9-1. printed device reference note some devices have a cosmetic circular marking visible on the top of the device package which results from the production test process. these markings are cosmetic only with no reliability impact. 9.1.2 device naming convention table 9-1. nomenclature description field parameter field description values description marking orderable a device evolution stage x contact ti prototype p preproduction (production test flow, no reliability data) blank production tda3 base production part number tda3 adas 3 rd generation family t device tier s super m mid l low i device identity a scene analysis v scene viewing d dual-dsp scene analysis r radar x adas superset z device speed a indicates the speed grade for each of the cores in the device. for more information see section 3.1 , device comparison table and table 5-5 , speed grade maximum frequency b d r sprs916_pack_01 tda a izrycpppq1 tda3 t xxxxxxx pin one indicator o g1 yyy zzz
254 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 device and documentation support copyright ? 2016 ? 2018, texas instruments incorporated table 9-1. nomenclature description (continued) field parameter field description values description marking orderable r device revision blank sr 1.0 a sr 1.0a b sr 2.0 y device type blank standard devices e emulation (e) devices j jtag lock & random key devices d secured devices c can2 designator blank standard can f can-fd ppp package designator abf abf s-pbga-n367 (15mm x 15mm) package c carrier designator n/a blank tray n/a r tape & reel q1 automotive designator blank does not meet automotive qualification q1 meets q100 equal requirements, with exceptions as specified in dm. xxxxxxx as marked n/a lot trace code yyy as marked n/a production code, for ti use only zzz as marked n/a production code, for ti use only o as marked n/a pin one designator g1 as marked n/a ecat ? green package designator (1) to designate the stages in the product development cycle, ti assigns prefixes to the part numbers. these prefixes represent evolutionary stages of product development from engineering prototypes through fully qualified production devices. prototype devices are shipped against the following disclaimer: ? this product is still in development and is intended for internal evaluation purposes. ? notwithstanding any provision to the contrary, ti makes no warranty expressed, implied, or statutory, including any implied warranty of merchantability of fitness for a specific purpose, of this device. note blank in the symbol or part number is collapsed so there are no gaps between characters. 9.2 tools and software the following products support development for tda3x platforms: development tools tda3x clock tree tool is an interactive clock tree configuration software that allows the user to visualize the device clock tree, interact with clock tree elements and view the effect on prcm registers, interact with the prcm registers and view the effect on the device clock tree, and view a trace of all the device registers affected by the user interaction with the clock tree. tda3x register descriptor tool is an interactive device register configuration tool that allows users to visualize the register state on power-on reset, and then customize the configuration of the device for the specific use-case. tda3x pad configuration tool is an interactive pad-configuration tool that allows the user to visualize the device pad configuration state on power-on reset and then customize the configuration of the pads for the specific use-case and identify the device register settings associated to that configuration. for a complete listing of development-support tools for the processor platform, visit the texas instruments website at www.ti.com. for information on pricing and availability, contact the nearest ti field sales office or authorized distributor. 9.3 documentation support
255 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 device and documentation support copyright ? 2016 ? 2018, texas instruments incorporated the following documents describe the tda3x devices. trm tda3x soc for advanced driver assistance systems (adas) technical reference manual details the integration, the environment, the functional description, and the programming models for each peripheral and subsystem in the tda3x family of devices. errata tda3x silicon errata describes known advisories, limitations, and cautions on silicon and provides workarounds. 9.3.1 fcc warning this equipment is intended for use in a laboratory test environment only. it generates, uses, and can radiate radio frequency energy and has not been tested for compliance with the limits of computing devices pursuant to subpart j of part 15 of fcc rules, which are designed to provide reasonable protection against radio frequency interference. operation of this equipment in other environments may cause interference with radio communications, in which case the user at his own expense will be required to take whatever measures may be required to correct this interference. 9.3.2 information about cautions and warnings this book may contain cautions and warnings. caution this is an example of a caution statement. a caution statement describes a situation that could potentially damage your software or equipment. warning this is an example of a warning statement. a warning statement describes a situation that could potentially cause harm to you. the information in a caution or a warning is provided for your protection. please read each caution and warning carefully. 9.4 receiving notification of documentation updates to receive notification of documentation updates ? including silicon errata ? go to the product folder for your device on ti.com . in the upper right-hand corner, click the "alert me" button. this registers you to receive a weekly digest of product information that has changed (if any). for change details, check the revision history of any revised document. 9.5 related links the table below lists quick access links. categories include technical documents, support and community resources, tools and software, and quick access to order now.
256 tda3 sprs964e ? june 2016 ? revised may 2018 www.ti.com submit documentation feedback product folder links: tda3 device and documentation support copyright ? 2016 ? 2018, texas instruments incorporated table 9-2. related links parts product folder order now technical documents tools & software support & community tda3mv click here click here click here click here click here tda3ma click here click here click here click here click here tda3lx click here click here click here click here click here tda3la click here click here click here click here click here 9.6 community resources the following links connect to ti community resources. linked contents are provided "as is" by the respective contributors. they do not constitute ti specifications and do not necessarily reflect ti's views; see ti's terms of use . ti embedded processors wiki texas instruments embedded processors wiki. established to help developers get started with embedded processors from texas instruments and to foster innovation and growth of general knowledge about the hardware and software surrounding these devices. 9.7 trademarks arm, cortex are registered trademarks of arm limited. mipi is a registered trademark of mobile industry processor (mipi) alliance. mmc is a trademark of multimediacard association. sd is a trademark of toshiba corporation. all other trademarks are the property of their respective owners. 9.8 electrostatic discharge caution this integrated circuit can be damaged by esd. texas instruments recommends that all integrated circuits be handled with appropriate precautions. failure to observe proper handling and installation procedures can cause damage. esd damage can range from subtle performance degradation to complete device failure. precision integrated circuits may be more susceptible to damage because very small parametric changes could cause the device not to meet its published specifications. 9.9 export control notice recipient agrees to not knowingly export or re-export, directly or indirectly, any product or technical data (as defined by the u.s., eu, and other export administration regulations) including software, or any controlled product restricted by other applicable national regulations, received from disclosing party under nondisclosure obligations (if any), or any direct product of such technology, to any destination to which such export or re-export is restricted or prohibited by u.s. or other applicable laws, without obtaining prior authorization from u.s. department of commerce and other competent government authorities to the extent required by those laws. 9.10 glossary ti glossary this glossary lists and explains terms, acronyms, and definitions.
257 tda3 www.ti.com sprs964e ? june 2016 ? revised may 2018 submit documentation feedback product folder links: tda3 mechanical packaging information copyright ? 2016 ? 2018, texas instruments incorporated 10 mechanical packaging information the following pages include mechanical packaging information. this information is the most current data available for the designated devices. this data is subject to change without notice and revision of this document. for browser-based versions of this data sheet, refer to the left-hand navigation. 10.1 mechanical data
package option addendum www.ti.com 22-jun-2018 addendum-page 1 packaging information orderable device status (1) package type package drawing pins package qty eco plan (2) lead/ball finish (6) msl peak temp (3) op temp (c) device marking (4/5) samples tda3labbabfq1 active fcbga abf 367 90 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3labbabfq1 tda 775 775 abf g1 tda3labbabfrq1 active fcbga abf 367 750 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3labbabfq1 tda 775 775 abf g1 tda3ladbabfq1 active fcbga abf 367 1 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3ladbabfq1 tda 775 775 abf g1 tda3ladbabfrq1 active fcbga abf 367 750 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3ladbabfq1 tda 775 775 abf g1 tda3ladbjfabfq1 active fcbga abf 367 90 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3ladbjfabfq1 tda 775 775 abf g1 tda3ladbjfabfrq1 active fcbga abf 367 750 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3ladbjfabfq1 tda 775 775 abf g1 tda3lrababfq1 active fcbga abf 367 1 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3lrababfq1 tda 775 775 abf g1 tda3lxbbabfq1 active fcbga abf 367 90 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3lxbbabfq1 tda 775 775 abf g1 tda3lxbbabfrq1 active fcbga abf 367 750 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3lxbbabfq1 tda 775 775 abf g1
package option addendum www.ti.com 22-jun-2018 addendum-page 2 orderable device status (1) package type package drawing pins package qty eco plan (2) lead/ball finish (6) msl peak temp (3) op temp (c) device marking (4/5) samples tda3lxrbfabfq1 active fcbga abf 367 90 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3lxrbfabfq1 tda 775 775 abf g1 tda3lxrbfabfrq1 active fcbga abf 367 750 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3lxrbfabfq1 tda 775 775 abf g1 tda3madbabfq1 active fcbga abf 367 1 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3madbabfq1 tda 775 775 abf g1 tda3madbabfrq1 active fcbga abf 367 750 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3madbabfq1 tda 775 775 abf g1 tda3mvdbabfq1 active fcbga abf 367 1 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3mvdbabfq1 tda 775 775 abf g1 tda3mvdbabfrq1 active fcbga abf 367 750 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3mvdbabfq1 tda 775 775 abf g1 tda3mvrbfabfq1 active fcbga abf 367 1 green (rohs & no sb/br) snagcu level-3-250c-168 hr -40 to 125 tda3mvrbfabfq1 tda 775 775 abf g1 (1) the marketing status values are defined as follows: active: product device recommended for new designs. lifebuy: ti has announced that the device will be discontinued, and a lifetime-buy period is in effect. nrnd: not recommended for new designs. device is in production to support existing customers, but ti does not recommend using this part in a new design. preview: device has been announced but is not in production. samples may or may not be available. obsolete: ti has discontinued the production of the device. (2) rohs: ti defines "rohs" to mean semiconductor products that are compliant with the current eu rohs requirements for all 10 rohs substances, including the requirement that rohs substance do not exceed 0.1% by weight in homogeneous materials. where designed to be soldered at high temperatures, "rohs" products are suitable for use in specified lead-free processes. ti may reference these types of products as "pb-free". rohs exempt: ti defines "rohs exempt" to mean products that contain lead but are compliant with eu rohs pursuant to a specific eu rohs exemption.
package option addendum www.ti.com 22-jun-2018 addendum-page 3 green: ti defines "green" to mean the content of chlorine (cl) and bromine (br) based flame retardants meet js709b low halogen requirements of <=1000ppm threshold. antimony trioxide based flame retardants must also meet the <=1000ppm threshold requirement. (3) msl, peak temp. - the moisture sensitivity level rating according to the jedec industry standard classifications, and peak solder temperature. (4) there may be additional marking, which relates to the logo, the lot trace code information, or the environmental category on the device. (5) multiple device markings will be inside parentheses. only one device marking contained in parentheses and separated by a "~" will appear on a device. if a line is indented then it is a continuation of the previous line and the two combined represent the entire device marking for that device. (6) lead/ball finish - orderable devices may have multiple material finish options. finish options are separated by a vertical ruled line. lead/ball finish values may wrap to two lines if the finish value exceeds the maximum column width. important information and disclaimer: the information provided on this page represents ti's knowledge and belief as of the date that it is provided. ti bases its knowledge and belief on information provided by third parties, and makes no representation or warranty as to the accuracy of such information. efforts are underway to better integrate information from third parties. ti has taken and continues to take reasonable steps to provide representative and accurate information but may not have conducted destructive testing or chemical analysis on incoming materials and chemicals. ti and ti suppliers consider certain information to be proprietary, and thus cas numbers and other limited information may not be available for release. in no event shall ti's liability arising out of such information exceed the total purchase price of the ti part(s) at issue in this document sold by ti to customer on an annual basis. other qualified versions of tda3 : ? automotive: TDA3-Q1 note: qualified version definitions: ? automotive - q100 devices qualified for high-reliability automotive applications targeting zero defects
important notice texas instruments incorporated (ti) reserves the right to make corrections, enhancements, improvements and other changes to its semiconductor products and services per jesd46, latest issue, and to discontinue any product or service per jesd48, latest issue. buyers should obtain the latest relevant information before placing orders and should verify that such information is current and complete. ti ? s published terms of sale for semiconductor products ( http://www.ti.com/sc/docs/stdterms.htm ) apply to the sale of packaged integrated circuit products that ti has qualified and released to market. additional terms may apply to the use or sale of other types of ti products and services. reproduction of significant portions of ti information in ti data sheets is permissible only if reproduction is without alteration and is accompanied by all associated warranties, conditions, limitations, and notices. ti is not responsible or liable for such reproduced documentation. information of third parties may be subject to additional restrictions. resale of ti products or services with statements different from or beyond the parameters stated by ti for that product or service voids all express and any implied warranties for the associated ti product or service and is an unfair and deceptive business practice. ti is not responsible or liable for any such statements. buyers and others who are developing systems that incorporate ti products (collectively, ? designers ? ) understand and agree that designers remain responsible for using their independent analysis, evaluation and judgment in designing their applications and that designers have full and exclusive responsibility to assure the safety of designers ' applications and compliance of their applications (and of all ti products used in or for designers ? applications) with all applicable regulations, laws and other applicable requirements. designer represents that, with respect to their applications, designer has all the necessary expertise to create and implement safeguards that (1) anticipate dangerous consequences of failures, (2) monitor failures and their consequences, and (3) lessen the likelihood of failures that might cause harm and take appropriate actions. designer agrees that prior to using or distributing any applications that include ti products, designer will thoroughly test such applications and the functionality of such ti products as used in such applications. ti ? s provision of technical, application or other design advice, quality characterization, reliability data or other services or information, including, but not limited to, reference designs and materials relating to evaluation modules, (collectively, ? ti resources ? ) are intended to assist designers who are developing applications that incorporate ti products; by downloading, accessing or using ti resources in any way, designer (individually or, if designer is acting on behalf of a company, designer ? s company) agrees to use any particular ti resource solely for this purpose and subject to the terms of this notice. ti ? s provision of ti resources does not expand or otherwise alter ti ? s applicable published warranties or warranty disclaimers for ti products, and no additional obligations or liabilities arise from ti providing such ti resources. ti reserves the right to make corrections, enhancements, improvements and other changes to its ti resources. ti has not conducted any testing other than that specifically described in the published documentation for a particular ti resource. designer is authorized to use, copy and modify any individual ti resource only in connection with the development of applications that include the ti product(s) identified in such ti resource. no other license, express or implied, by estoppel or otherwise to any other ti intellectual property right, and no license to any technology or intellectual property right of ti or any third party is granted herein, including but not limited to any patent right, copyright, mask work right, or other intellectual property right relating to any combination, machine, or process in which ti products or services are used. information regarding or referencing third-party products or services does not constitute a license to use such products or services, or a warranty or endorsement thereof. use of ti resources may require a license from a third party under the patents or other intellectual property of the third party, or a license from ti under the patents or other intellectual property of ti. ti resources are provided ? as is ? and with all faults. ti disclaims all other warranties or representations, express or implied, regarding resources or use thereof, including but not limited to accuracy or completeness, title, any epidemic failure warranty and any implied warranties of merchantability, fitness for a particular purpose, and non-infringement of any third party intellectual property rights. ti shall not be liable for and shall not defend or indemnify designer against any claim, including but not limited to any infringement claim that relates to or is based on any combination of products even if described in ti resources or otherwise. in no event shall ti be liable for any actual, direct, special, collateral, indirect, punitive, incidental, consequential or exemplary damages in connection with or arising out of ti resources or use thereof, and regardless of whether ti has been advised of the possibility of such damages. unless ti has explicitly designated an individual product as meeting the requirements of a particular industry standard (e.g., iso/ts 16949 and iso 26262), ti is not responsible for any failure to meet such industry standard requirements. where ti specifically promotes products as facilitating functional safety or as compliant with industry functional safety standards, such products are intended to help enable customers to design and create their own applications that meet applicable functional safety standards and requirements. using products in an application does not by itself establish any safety features in the application. designers must ensure compliance with safety-related requirements and standards applicable to their applications. designer may not use any ti products in life-critical medical equipment unless authorized officers of the parties have executed a special contract specifically governing such use. life-critical medical equipment is medical equipment where failure of such equipment would cause serious bodily injury or death (e.g., life support, pacemakers, defibrillators, heart pumps, neurostimulators, and implantables). such equipment includes, without limitation, all medical devices identified by the u.s. food and drug administration as class iii devices and equivalent classifications outside the u.s. ti may expressly designate certain products as completing a particular qualification (e.g., q100, military grade, or enhanced product). designers agree that it has the necessary expertise to select the product with the appropriate qualification designation for their applications and that proper product selection is at designers ? own risk. designers are solely responsible for compliance with all legal and regulatory requirements in connection with such selection. designer will fully indemnify ti and its representatives against any damages, costs, losses, and/or liabilities arising out of designer ? s non- compliance with the terms and provisions of this notice. mailing address: texas instruments, post office box 655303, dallas, texas 75265 copyright ? 2018, texas instruments incorporated


▲Up To Search▲   

 
Price & Availability of TDA3-Q1

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X